OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [db/] [spw_fifo_ulight.(94).cnf.hdb] - Diff between revs 35 and 40

Only display areas with differences | Details | Blame | View Log

Rev 35 Rev 40
kk4Version 17.0.1 Build 598 06/07/2017 SJ Lite EditionEO[5x\I\I.ð0,8!\U[\nKXXUVzvOl/@q@\ f%#"U҈I|2_\_ϗjjp
1}z}>Eޤh}?9{b|8?}ɳVQkQ&}nn|%~W	tly5-COOGOdxȂl2;A$}dwwDdv$2#&oO&֝%3\{0}+~0o׺c2ޝd<^ףa]NNEpz[rZIc;k>]cmSlYp{zwYvj'u%P2{2]ӕ.1]k\b%׸tKLhdH.F#
4D47n4Dٸ,J!w=?9/3QeXu=N˪z$G*IUf0Wv<uaǗK3yxo$8i.~~yVBl)r˲2BaM,4[3EfD|bqULřVK3%ofYGe޺o%q6,Zm[|Se9fQNqnR|
|B]"ߪj*%r|b9seD=)r"7KVDq-5[7|/,=.7C_.b|Se1`Eeu|s%2n4%H$DžM
tt=Version 17.1.1 Internal Build 593 12/11/2017 SJ Lite Edition["xXKkAH|?PT<ɦ{v' xeeNBѣ(Z_ϫ{j܀
Ë/5PZU.5WeMy0Ts>7)/*e2t*%+k5/yYG
yT
wkJ)ei%2:w2p+72\p-q-V#4vl5fl4zì5̸aF
i;_Uw}]UݓFZa=d-?KFרzLc8       v'ٿC(39I5t1'I^N:x8С;HQg`
+ȧcL&779
W1k~F8QS(Q@
jXZ0f0]!㤛XŽxk/n*$ZꡅZd|'ATIuȺ/B(     
}-iIy\h^^%֚$i(    D%*2Џ) G1"J:e\Iy^3R8D_lOFƵU**u ﯯ;lv3wV/w2Wݾ+݁s߶m|3Rs4N+pZ-vZa      u2e0ʍu$GQ䔘)HcKG9M'4< ;͵v)Bf`~X$  L7[;x--\2J$ijQ<%i:;IwV`(!~j{B
n]'AU
EM*>LZIL LUĖ-!EO`"6D4S^j`Hpx`=;        m'fB        '8QBu~_*QkP cc%܏p?VX c%܏pRt\[JA"[J1"jK)BT*RFm)[)/;ޮV7+Q
 
ֱ>EB3,ت9Ceqw)BXAm0'
 
O (@>Q|Dȇ4HjPp5nz`OLC(D0$
 
!Q`H}]yc;%
 
ʄEW*]e¢LXt     \ɕ  \(NLil46DAuc:1Q[E`E   [JxRÖ𰥄-%
 
|LB4ؑ-NlI %uND#(֙<>zL? @<y{1QPhDA  c%܏p?VX    c%܏pR4$
 
%jKC!QPҐ(FmiHD(DA'
 
=Q쉂`O3ZGaU/}FlvAo[fk8|wibp|ڌog6>4ѦhDpgzǗ4،`#"PmN2x:ClBx{wAM$"DzzBDtrv!b.B0es[RRSTxۊJ/].Jd+.RkV?O0$aa{X_Akd{UgRP>9tN*9eBL      01U&ʄT~6+}+Q/XKd   gJD^ېbSP OA<SP OA<{'^=xPOUSP%pWg
 
T=)`OA{
 
*g`т}^߫jdW>_t¢:aU&$
 
ʄDA((eBLXW2PKuc:PKu㡖x-~
 
/UMU
;I(y'
 
@(y{RDAZ߫ACEx(((DA'
 
=Q쉂`O{ ({U^^lsfDžigU@_]ecOG?`W4{D
 
&dž]VEp#/cN
l㙆u)1e7vc9^9&쐘Bps8f9bĿaKhH6#)pt7k
S
 
}SX#%L6+;Pߡ/.L+k"Z@~ё4;Ԉ-W:;5;[ϋw$!]0       ޖ.1p{).?     MMoοwiާke"E+HcWCxL4٥  M4Iʆϗ.hR;ڐGig-mW]qQb#mU]fZz@:QjĊOssZgO,ϖ7/?9Y
 
|x\=KA7&j41~F JrvWUۈ1$WhJHo Xوn>efޙIB4	UE϶$„!H[qw+Q!,*JIqCaYI%#$1V!MkL@G)!9s(ЮM;g       ZQF킯yoLrv@zn ոyh8
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.