OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [ulight_fifo_hps_0_hps_io_border.sv] - Diff between revs 32 and 40

Only display areas with differences | Details | Blame | View Log

Rev 32 Rev 40
// (C) 2001-2017 Intel Corporation. All rights reserved.
// (C) 2001-2017 Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions and other
// Your use of Intel Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions, and any output
// software and tools, and its AMPP partner logic functions, and any output
// files any of the foregoing (including device programming or simulation
// files from any of the foregoing (including device programming or simulation
// files), and any associated documentation or information are expressly subject
// files), and any associated documentation or information are expressly subject
// to the terms and conditions of the Intel Program License Subscription
// to the terms and conditions of the Intel Program License Subscription
// Agreement, Intel MegaCore Function License Agreement, or other applicable
// Agreement, Intel FPGA IP License Agreement, or other applicable
// license agreement, including, without limitation, that your use is for the
// license agreement, including, without limitation, that your use is for the
// sole purpose of programming logic devices manufactured by Intel and sold by
// sole purpose of programming logic devices manufactured by Intel and sold by
// Intel or its authorized distributors.  Please refer to the applicable
// Intel or its authorized distributors.  Please refer to the applicable
// agreement for further details.
// agreement for further details.
module ulight_fifo_hps_0_hps_io_border(
module ulight_fifo_hps_0_hps_io_border(
// memory
// memory
  output wire [13 - 1 : 0 ] mem_a
  output wire [13 - 1 : 0 ] mem_a
 ,output wire [3 - 1 : 0 ] mem_ba
 ,output wire [3 - 1 : 0 ] mem_ba
 ,output wire [1 - 1 : 0 ] mem_ck
 ,output wire [1 - 1 : 0 ] mem_ck
 ,output wire [1 - 1 : 0 ] mem_ck_n
 ,output wire [1 - 1 : 0 ] mem_ck_n
 ,output wire [1 - 1 : 0 ] mem_cke
 ,output wire [1 - 1 : 0 ] mem_cke
 ,output wire [1 - 1 : 0 ] mem_cs_n
 ,output wire [1 - 1 : 0 ] mem_cs_n
 ,output wire [1 - 1 : 0 ] mem_ras_n
 ,output wire [1 - 1 : 0 ] mem_ras_n
 ,output wire [1 - 1 : 0 ] mem_cas_n
 ,output wire [1 - 1 : 0 ] mem_cas_n
 ,output wire [1 - 1 : 0 ] mem_we_n
 ,output wire [1 - 1 : 0 ] mem_we_n
 ,output wire [1 - 1 : 0 ] mem_reset_n
 ,output wire [1 - 1 : 0 ] mem_reset_n
 ,inout wire [8 - 1 : 0 ] mem_dq
 ,inout wire [8 - 1 : 0 ] mem_dq
 ,inout wire [1 - 1 : 0 ] mem_dqs
 ,inout wire [1 - 1 : 0 ] mem_dqs
 ,inout wire [1 - 1 : 0 ] mem_dqs_n
 ,inout wire [1 - 1 : 0 ] mem_dqs_n
 ,output wire [1 - 1 : 0 ] mem_odt
 ,output wire [1 - 1 : 0 ] mem_odt
 ,output wire [1 - 1 : 0 ] mem_dm
 ,output wire [1 - 1 : 0 ] mem_dm
 ,input wire [1 - 1 : 0 ] oct_rzqin
 ,input wire [1 - 1 : 0 ] oct_rzqin
);
);
hps_sdram hps_sdram_inst(
hps_sdram hps_sdram_inst(
 .mem_dq({
 .mem_dq({
    mem_dq[7:0] // 7:0
    mem_dq[7:0] // 7:0
  })
  })
,.mem_odt({
,.mem_odt({
    mem_odt[0:0] // 0:0
    mem_odt[0:0] // 0:0
  })
  })
,.mem_ras_n({
,.mem_ras_n({
    mem_ras_n[0:0] // 0:0
    mem_ras_n[0:0] // 0:0
  })
  })
,.mem_dqs_n({
,.mem_dqs_n({
    mem_dqs_n[0:0] // 0:0
    mem_dqs_n[0:0] // 0:0
  })
  })
,.mem_dqs({
,.mem_dqs({
    mem_dqs[0:0] // 0:0
    mem_dqs[0:0] // 0:0
  })
  })
,.mem_dm({
,.mem_dm({
    mem_dm[0:0] // 0:0
    mem_dm[0:0] // 0:0
  })
  })
,.mem_we_n({
,.mem_we_n({
    mem_we_n[0:0] // 0:0
    mem_we_n[0:0] // 0:0
  })
  })
,.mem_cas_n({
,.mem_cas_n({
    mem_cas_n[0:0] // 0:0
    mem_cas_n[0:0] // 0:0
  })
  })
,.mem_ba({
,.mem_ba({
    mem_ba[2:0] // 2:0
    mem_ba[2:0] // 2:0
  })
  })
,.mem_a({
,.mem_a({
    mem_a[12:0] // 12:0
    mem_a[12:0] // 12:0
  })
  })
,.mem_cs_n({
,.mem_cs_n({
    mem_cs_n[0:0] // 0:0
    mem_cs_n[0:0] // 0:0
  })
  })
,.mem_ck({
,.mem_ck({
    mem_ck[0:0] // 0:0
    mem_ck[0:0] // 0:0
  })
  })
,.mem_cke({
,.mem_cke({
    mem_cke[0:0] // 0:0
    mem_cke[0:0] // 0:0
  })
  })
,.oct_rzqin({
,.oct_rzqin({
    oct_rzqin[0:0] // 0:0
    oct_rzqin[0:0] // 0:0
  })
  })
,.mem_reset_n({
,.mem_reset_n({
    mem_reset_n[0:0] // 0:0
    mem_reset_n[0:0] // 0:0
  })
  })
,.mem_ck_n({
,.mem_ck_n({
    mem_ck_n[0:0] // 0:0
    mem_ck_n[0:0] // 0:0
  })
  })
);
);
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.