OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [env/] [verilog/] [scoreboard/] [sb_bench.v] - Diff between revs 18 and 19

Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 8... Line 8...
  localparam use_mask = 1;
  localparam use_mask = 1;
  localparam asz=$clog2(items);
  localparam asz=$clog2(items);
  localparam txid_sz = asz;
  localparam txid_sz = asz;
 
 
  reg clk, reset;
  reg clk, reset;
  wire p_drdy = 1'b1;
 
 
 
  initial
  initial
    begin
    begin
      clk = 0;
      clk = 0;
      forever #5 clk = ~clk;
      forever #5 clk = ~clk;
Line 26... Line 25...
  wire [width-1:0]      c_mask;                 // From driver of sb_driver.v
  wire [width-1:0]      c_mask;                 // From driver of sb_driver.v
  wire                  c_req_type;             // From driver of sb_driver.v
  wire                  c_req_type;             // From driver of sb_driver.v
  wire                  c_srdy;                 // From driver of sb_driver.v
  wire                  c_srdy;                 // From driver of sb_driver.v
  wire [txid_sz-1:0]    c_txid;                 // From driver of sb_driver.v
  wire [txid_sz-1:0]    c_txid;                 // From driver of sb_driver.v
  wire [width-1:0]      p_data;                 // From sboard of sd_scoreboard.v
  wire [width-1:0]      p_data;                 // From sboard of sd_scoreboard.v
 
  wire                  p_drdy;                 // From monitor of sb_monitor.v
  wire                  p_srdy;                 // From sboard of sd_scoreboard.v
  wire                  p_srdy;                 // From sboard of sd_scoreboard.v
  wire [txid_sz-1:0]    p_txid;                 // From sboard of sd_scoreboard.v
  wire [txid_sz-1:0]    p_txid;                 // From sboard of sd_scoreboard.v
  // End of automatics
  // End of automatics
 
 
/* sb_driver AUTO_TEMPLATE
/* sb_driver AUTO_TEMPLATE
Line 149... Line 149...
 
 
          driver.send (0, 0, 0, entry);
          driver.send (0, 0, 0, entry);
        end
        end
 
 
      // mix updates with requests
      // mix updates with requests
      for (i=0; i<1024; i=i+1)
      for (i=0; i<4096; i=i+1)
        begin
        begin
          entry = {$random} % items;
          // choose random entry but space requests apart
 
          //entry = {$random} % items;
 
          case (i%2)
 
            0 : entry = {$random} % (items/2);
 
            1 : entry = {$random} % (items/2) + items/2;
 
            //2 : entry = {$random} % (items/4) + 2*(items/4);
 
            //3 : entry = {$random} % (items/4) + 3*(items/4);
 
          endcase
 
 
          op = {$random} % 8;
          op = {$random} % 8;
 
 
 
          case (i)
 
            512  : monitor.drdy_pat = 8'h55;
 
            1024 : monitor.drdy_pat = 8'h0F;
 
            1500 : monitor.drdy_pat = 8'h82;
 
            2000 : monitor.drdy_pat = 8'hFE;
 
          endcase
 
 
          if (op == 0)
          if (op == 0)
            driver.send (1, {width{1'b1}}, $random, entry);
            driver.send (1, {width{1'b1}}, $random, entry);
          else if (op == 1)
          else if (op == 1)
 
            driver.send (1, 32'h0000FFFF, $random, entry);
 
          else if (op == 2)
            driver.send (1, $random, $random, entry);
            driver.send (1, $random, $random, entry);
          else
          else
            driver.send (0, 0, 0, entry);
            driver.send (0, 0, 0, entry);
        end
        end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.