OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [gmii_driver.v] - Diff between revs 24 and 30

Show entire file | Details | Blame | View Log

Rev 24 Rev 30
Line 41... Line 41...
              else
              else
                nxt_icrc = nxt_icrc[31:1];
                nxt_icrc = nxt_icrc[31:1];
            end
            end
 
 
          icrc = nxt_icrc;
          icrc = nxt_icrc;
 
          $display ("DEBUG: byte %02d data=%x crc=%x", len, rxbuf[len], icrc);
        end // for (len=0; len<length; len=len+1)
        end // for (len=0; len<length; len=len+1)
 
 
      icrc = ~icrc;
      icrc = ~icrc;
    end
    end
  endtask
  endtask
Line 121... Line 122...
      { rxbuf[6],rxbuf[7],rxbuf[8],rxbuf[9],rxbuf[10],rxbuf[11] } = sa;
      { rxbuf[6],rxbuf[7],rxbuf[8],rxbuf[9],rxbuf[10],rxbuf[11] } = sa;
      for (p=12; p<length; p=p+1)
      for (p=12; p<length; p=p+1)
        rxbuf[p] = $random;
        rxbuf[p] = $random;
 
 
      //gencrc32 (length);
      //gencrc32 (length);
      gencrc32 (length, crc32_result);
      gencrc32 (length-4, crc32_result);
      { rxbuf[length-1], rxbuf[length-2],
      { rxbuf[length-1], rxbuf[length-2],
        rxbuf[length-3], rxbuf[length-4] } = crc32_result;
        rxbuf[length-3], rxbuf[length-4] } = crc32_result;
 
 
      $display ("%m : Sending packet DA=%x SA=%x of length %0d", da, sa, length);
      $display ("%m : Sending packet DA=%x SA=%x of length %0d", da, sa, length);
      print_packet (length);
      print_packet (length);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.