OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [rtl/] [verilog/] [buffers/] [sd_fifo_tail_b.v] - Diff between revs 16 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 16 Rev 19
Line 55... Line 55...
     output reg [asz-1:0]   com_rdptr,
     output reg [asz-1:0]   com_rdptr,
     input  [asz-1:0]       wrptr,
     input  [asz-1:0]       wrptr,
     output reg           mem_re,
     output reg           mem_re,
     input                mem_we,
     input                mem_we,
 
 
     output reg [usz:0]   p_usage,
     output reg [usz-1:0] p_usage,
 
 
     output               p_srdy,
     output               p_srdy,
     input                p_drdy,
     input                p_drdy,
     input                p_commit,
     input                p_commit,
     input                p_abort,
     input                p_abort,
Line 103... Line 103...
      if (commit && p_abort)
      if (commit && p_abort)
        begin
        begin
          nxt_cur_rdptr = com_rdptr;
          nxt_cur_rdptr = com_rdptr;
          mem_re = 0;
          mem_re = 0;
        end
        end
//      else if (enable & !empty & (!valid_a | (!prev_re & !valid_b) | 
 
//                             (valid_a & valid_b & p_drdy)))
 
      else if (enable & !empty & ip_drdy)
      else if (enable & !empty & ip_drdy)
        begin
        begin
          nxt_cur_rdptr = cur_rdptr_p1;
          nxt_cur_rdptr = cur_rdptr_p1;
          mem_re = 1;
          mem_re = 1;
        end
        end
Line 124... Line 122...
        p_usage = tmp_usage[usz-1:0];
        p_usage = tmp_usage[usz-1:0];
      else
      else
        p_usage = fifo_size - (cur_rdptr[asz-1:0] - wrptr[asz-1:0]);
        p_usage = fifo_size - (cur_rdptr[asz-1:0] - wrptr[asz-1:0]);
    end // always @ *
    end // always @ *
 
 
/* -----\/----- EXCLUDED -----\/-----
 
  // alternate usage calc
 
  reg [asz-1:0] prev_wr;
 
  reg [asz:0] usage2, nxt_usage2;
 
  wire        lcl_wr_en;
 
  //assign lcl_wr_en = (prev_wr0 != wrptr[0]);
 
 
 
  always @(posedge clk)
 
    begin
 
      if (reset)
 
        begin
 
          /-*AUTORESET*-/
 
          // Beginning of autoreset for uninitialized flops
 
          usage2 <= {(1+(asz)){1'b0}};
 
          // End of automatics
 
        end
 
      else
 
        begin
 
          usage2   <= #1 nxt_usage2;
 
        end
 
    end
 
 
 
  always @*
 
    begin
 
      if (mem_re & !mem_we)
 
        nxt_usage2 = usage2 - 1;
 
      else if (!mem_re & mem_we)
 
        nxt_usage2 = usage2 + 1;
 
      else
 
        nxt_usage2 = usage2;
 
    end
 
 -----/\----- EXCLUDED -----/\----- */
 
 
 
  always @(posedge clk)
  always @(posedge clk)
    begin
    begin
      if (reset)
      if (reset)
        cur_rdptr <= `SDLIB_DELAY bound_low;
        cur_rdptr <= `SDLIB_DELAY bound_low;
      else
      else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.