OpenCores
URL https://opencores.org/ocsvn/sv_dir_tb/sv_dir_tb/trunk

Subversion Repositories sv_dir_tb

[/] [sv_dir_tb/] [trunk/] [tb_gen/] [tb_mod_template.sv] - Diff between revs 2 and 6

Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 20... Line 20...
  `include "../sv/tb_pkg.sv"
  `include "../sv/tb_pkg.sv"
 
 
module tb_mod (dut_if.tb_conn tif);
module tb_mod (dut_if.tb_conn tif);
 
 
  import tb_pkg::*;
  import tb_pkg::*;
 
  //  some handy defs
 
  `define PAR1 r.rtn_val.par1
 
  `define PAR2 r.rtn_val.par2
 
  `define PAR3 r.rtn_val.par3
 
  `define PAR4 r.rtn_val.par4
  //  package and container
  //  package and container
  cmd_lst  cmds;
  cmd_lst  cmds;
  tb_trans r;
  tb_trans r;
 
 
  integer  in_fh;
  integer  in_fh;
Line 66... Line 70...
    //cmds.define_instruction("READ", 1);
    //cmds.define_instruction("READ", 1);
    //cmds.define_instruction("WRITE", 2);
    //cmds.define_instruction("WRITE", 2);
    //cmds.define_instruction("VERIFY", 1);
    //cmds.define_instruction("VERIFY", 1);
 
 
    //  load the stimulus file
    //  load the stimulus file
    cmds.load_stm(`STM_FILE);
    cmds.load_stm(tb_top.STM_FILE);
 
 
    r.cmd = cmds;
    r.cmd = cmds;
    /////////////////////////////////////////////////////
    /////////////////////////////////////////////////////
    //  the main loop.
    //  the main loop.
    while (r.cmd != null) begin
    while (r.cmd != null) begin
Line 91... Line 95...
      r.cmd.print_str_wvar();
      r.cmd.print_str_wvar();
 
 
      ///////////////////////////////////////////////////////////////////////////
      ///////////////////////////////////////////////////////////////////////////
      //  RESET
      //  RESET
      if (cmd_string == "RESET") begin
      if (cmd_string == "RESET") begin
        @(posedge clock)
        @(posedge clock);
      ///////////////////////////////////////////////////////////////////////////
      ///////////////////////////////////////////////////////////////////////////
      //  READ
      //  READ
      //end else if (cmd_string == "READ") begin
      //end else if (cmd_string == "READ") begin
      //  @(posedge clock)
      //  @(posedge clock)
      ///////////////////////////////////////////////////////////////////////////
      ///////////////////////////////////////////////////////////////////////////

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.