OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_elems.vhd] - Diff between revs 64 and 73

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 64 Rev 73
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- Generic testbench elements
-- Generic testbench elements
--
--
-- $Id: tb_elems.vhd,v 1.5 2006-05-27 19:08:53 arniml Exp $
-- $Id: tb_elems.vhd,v 1.6 2006-05-27 22:48:00 arniml Exp $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 271... Line 271...
    si_o <= '0';
    si_o <= '0';
 
 
    wait until io_l_i(4) = '0';
    wait until io_l_i(4) = '0';
 
 
    while io_l_i(4) = '0' loop
    while io_l_i(4) = '0' loop
      wait for 10 us;
      si_o <= so_i xor sk_i after 10 us;
      si_o <= so_i xor sk_i;
 
 
 
      wait until io_l_i'event or so_i'event or sk_i'event;
      wait until io_l_i'event or so_i'event or sk_i'event;
    end loop;
    end loop;
 
 
    -- now feed SO back to SI upon SK edge
    -- now feed SO back to SI upon SK edge
    loop
    loop
      wait until sk_i'event and sk_i = '1';
      wait until sk_i'event and sk_i = '1';
      wait for 10 us;
      si_o <= so_i after 10 us;
      si_o <= so_i;
 
    end loop;
    end loop;
 
 
    wait;
    wait;
  end process sio_peer;
  end process sio_peer;
 
 
Line 310... Line 308...
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- File History:
-- File History:
--
--
-- $Log: not supported by cvs2svn $
-- $Log: not supported by cvs2svn $
 
-- Revision 1.5  2006/05/27 19:08:53  arniml
 
-- add fail reporting for port d
 
--
-- Revision 1.4  2006/05/23 01:17:25  arniml
-- Revision 1.4  2006/05/23 01:17:25  arniml
-- drive IN port
-- drive IN port
--
--
-- Revision 1.3  2006/05/18 00:24:18  arniml
-- Revision 1.3  2006/05/18 00:24:18  arniml
-- extend D-port checks
-- extend D-port checks

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.