OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [bench/] [vhdl/] [tb_pack-p.vhd] - Diff between revs 176 and 179

Show entire file | Details | Blame | View Log

Rev 176 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- $Id: tb_pack-p.vhd,v 1.3 2006-05-27 19:09:29 arniml Exp $
-- $Id: tb_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 36... Line 36...
  signal tb_pc_s : pc_t;
  signal tb_pc_s : pc_t;
  signal tb_sa_s : pc_t;
  signal tb_sa_s : pc_t;
 
 
end tb_pack;
end tb_pack;
 
 
 
 
-------------------------------------------------------------------------------
 
-- File History:
 
--
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/23 01:17:25  arniml
 
-- drive IN port
 
--
 
-- Revision 1.1  2006/05/15 21:55:27  arniml
 
-- initial check-in
 
--
 
-------------------------------------------------------------------------------
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.