OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_alu.vhd] - Diff between revs 176 and 179

Show entire file | Details | Blame | View Log

Rev 176 Rev 179
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- The Arithmetic Logic Unit (ALU).
-- The Arithmetic Logic Unit (ALU).
-- It contains the accumulator and the C flag.
-- It contains the accumulator and the C flag.
--
--
-- $Id: t400_alu.vhd,v 1.3 2006-05-22 00:01:56 arniml Exp $
-- $Id: t400_alu.vhd 179 2009-04-01 19:48:38Z arniml $
--
--
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
Line 247... Line 247...
  carry_o <= alu_result_s(alu_dw_t'high);
  carry_o <= alu_result_s(alu_dw_t'high);
  c_o     <= c_q;
  c_o     <= c_q;
 
 
end rtl;
end rtl;
 
 
 
 
-------------------------------------------------------------------------------
 
-- File History:
 
--
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/21 21:47:40  arniml
 
-- route cko to ALU for INIL instruction
 
--
 
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
 
-- import from local CVS repository, LOC_CVS_0_1
 
--
 
-------------------------------------------------------------------------------
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.