OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64v5/] [rtl/] [common/] [FT64_iexpander.v] - Diff between revs 58 and 59

Show entire file | Details | Blame | View Log

Rev 58 Rev 59
Line 70... Line 70...
                                expand[47:32] = 16'h0000;
                                expand[47:32] = 16'h0000;
                                expand[5:0] = `BRK;
                                expand[5:0] = `BRK;
                                expand[7:6] = 2'b10;
                                expand[7:6] = 2'b10;
                                expand[15:8] = {3'd1,cinstr[11:8],cinstr[5]};
                                expand[15:8] = {3'd1,cinstr[11:8],cinstr[5]};
                                expand[16] = 1'b0;
                                expand[16] = 1'b0;
                                expand[19:17] = 3'd0;
                                expand[20:17] = 4'd0;
                                expand[23:20] = 4'd1;
                                expand[23:21] = 3'd1;
                                expand[31:24] = 8'd0;
                                expand[31:24] = 8'd0;
                        end
                        end
                        // LDI
                        // LDI
                        else begin
                        else begin
                                expand[47:32] = 16'h0000;
                                expand[47:32] = 16'h0000;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.