OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64v5/] [rtl/] [twoway/] [FT64_regfile2w6r_oc.v] - Diff between revs 50 and 57

Show entire file | Details | Blame | View Log

Rev 50 Rev 57
Line 317... Line 317...
        (wr1 && we1[5] && (ra0==wa1)) ? i1[47:40] :
        (wr1 && we1[5] && (ra0==wa1)) ? i1[47:40] :
        (wr0 && we0[5] && (ra0==wa0)) ? i0[47:40] : o00[47:40];
        (wr0 && we0[5] && (ra0==wa0)) ? i0[47:40] : o00[47:40];
assign o0[55:48] = ra0[4:0]==5'd0 ? {8{1'b0}} :
assign o0[55:48] = ra0[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[6] && (ra0==wa1)) ? i1[55:48] :
        (wr1 && we1[6] && (ra0==wa1)) ? i1[55:48] :
        (wr0 && we0[6] && (ra0==wa0)) ? i0[55:48] : o00[55:48];
        (wr0 && we0[6] && (ra0==wa0)) ? i0[55:48] : o00[55:48];
assign o0[55:48] = ra0[4:0]==5'd0 ? {8{1'b0}} :
 
        (wr1 && we1[6] && (ra0==wa1)) ? i1[55:48] :
 
        (wr0 && we0[6] && (ra0==wa0)) ? i0[55:48] : o00[55:48];
 
assign o0[63:56] = ra0[4:0]==5'd0 ? {8{1'b0}} :
assign o0[63:56] = ra0[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[7] && (ra0==wa1)) ? i1[63:56] :
        (wr1 && we1[7] && (ra0==wa1)) ? i1[63:56] :
        (wr0 && we0[7] && (ra0==wa0)) ? i0[63:56] : o00[63:56];
        (wr0 && we0[7] && (ra0==wa0)) ? i0[63:56] : o00[63:56];
 
 
assign o1[7:0] = ra1[4:0]==5'd0 ? {8{1'b0}} :
assign o1[7:0] = ra1[4:0]==5'd0 ? {8{1'b0}} :
Line 345... Line 342...
        (wr1 && we1[5] && (ra1==wa1)) ? i1[47:40] :
        (wr1 && we1[5] && (ra1==wa1)) ? i1[47:40] :
        (wr0 && we0[5] && (ra1==wa0)) ? i0[47:40] : o01[47:40];
        (wr0 && we0[5] && (ra1==wa0)) ? i0[47:40] : o01[47:40];
assign o1[55:48] = ra1[4:0]==5'd0 ? {8{1'b0}} :
assign o1[55:48] = ra1[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[6] && (ra1==wa1)) ? i1[55:48] :
        (wr1 && we1[6] && (ra1==wa1)) ? i1[55:48] :
        (wr0 && we0[6] && (ra1==wa0)) ? i0[55:48] : o01[55:48];
        (wr0 && we0[6] && (ra1==wa0)) ? i0[55:48] : o01[55:48];
assign o1[55:48] = ra1[4:0]==5'd0 ? {8{1'b0}} :
 
        (wr1 && we1[6] && (ra1==wa1)) ? i1[55:48] :
 
        (wr0 && we0[6] && (ra1==wa0)) ? i0[55:48] : o01[55:48];
 
assign o1[63:56] = ra1[4:0]==5'd0 ? {8{1'b0}} :
assign o1[63:56] = ra1[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[7] && (ra1==wa1)) ? i1[63:56] :
        (wr1 && we1[7] && (ra1==wa1)) ? i1[63:56] :
        (wr0 && we0[7] && (ra1==wa0)) ? i0[63:56] : o01[63:56];
        (wr0 && we0[7] && (ra1==wa0)) ? i0[63:56] : o01[63:56];
 
 
assign o2[7:0] = ra2[4:0]==5'd0 ? {8{1'b0}} :
assign o2[7:0] = ra2[4:0]==5'd0 ? {8{1'b0}} :
Line 373... Line 367...
        (wr1 && we1[5] && (ra2==wa1)) ? i1[47:40] :
        (wr1 && we1[5] && (ra2==wa1)) ? i1[47:40] :
        (wr0 && we0[5] && (ra2==wa0)) ? i0[47:40] : o02[47:40];
        (wr0 && we0[5] && (ra2==wa0)) ? i0[47:40] : o02[47:40];
assign o2[55:48] = ra2[4:0]==5'd0 ? {8{1'b0}} :
assign o2[55:48] = ra2[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[6] && (ra2==wa1)) ? i1[55:48] :
        (wr1 && we1[6] && (ra2==wa1)) ? i1[55:48] :
        (wr0 && we0[6] && (ra2==wa0)) ? i0[55:48] : o02[55:48];
        (wr0 && we0[6] && (ra2==wa0)) ? i0[55:48] : o02[55:48];
assign o2[55:48] = ra2[4:0]==5'd0 ? {8{1'b0}} :
 
        (wr1 && we1[6] && (ra2==wa1)) ? i1[55:48] :
 
        (wr0 && we0[6] && (ra2==wa0)) ? i0[55:48] : o02[55:48];
 
assign o2[63:56] = ra2[4:0]==5'd0 ? {8{1'b0}} :
assign o2[63:56] = ra2[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[7] && (ra2==wa1)) ? i1[63:56] :
        (wr1 && we1[7] && (ra2==wa1)) ? i1[63:56] :
        (wr0 && we0[7] && (ra2==wa0)) ? i0[63:56] : o02[63:56];
        (wr0 && we0[7] && (ra2==wa0)) ? i0[63:56] : o02[63:56];
 
 
assign o3[7:0] = ra3[4:0]==5'd0 ? {8{1'b0}} :
assign o3[7:0] = ra3[4:0]==5'd0 ? {8{1'b0}} :
Line 401... Line 392...
        (wr1 && we1[5] && (ra3==wa1)) ? i1[47:40] :
        (wr1 && we1[5] && (ra3==wa1)) ? i1[47:40] :
        (wr0 && we0[5] && (ra3==wa0)) ? i0[47:40] : o03[47:40];
        (wr0 && we0[5] && (ra3==wa0)) ? i0[47:40] : o03[47:40];
assign o3[55:48] = ra3[4:0]==5'd0 ? {8{1'b0}} :
assign o3[55:48] = ra3[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[6] && (ra3==wa1)) ? i1[55:48] :
        (wr1 && we1[6] && (ra3==wa1)) ? i1[55:48] :
        (wr0 && we0[6] && (ra3==wa0)) ? i0[55:48] : o03[55:48];
        (wr0 && we0[6] && (ra3==wa0)) ? i0[55:48] : o03[55:48];
assign o3[55:48] = ra3[4:0]==5'd0 ? {8{1'b0}} :
 
        (wr1 && we1[6] && (ra3==wa1)) ? i1[55:48] :
 
        (wr0 && we0[6] && (ra3==wa0)) ? i0[55:48] : o03[55:48];
 
assign o3[63:56] = ra3[4:0]==5'd0 ? {8{1'b0}} :
assign o3[63:56] = ra3[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[7] && (ra3==wa1)) ? i1[63:56] :
        (wr1 && we1[7] && (ra3==wa1)) ? i1[63:56] :
        (wr0 && we0[7] && (ra3==wa0)) ? i0[63:56] : o03[63:56];
        (wr0 && we0[7] && (ra3==wa0)) ? i0[63:56] : o03[63:56];
 
 
assign o4[7:0] = ra4[4:0]==5'd0 ? {8{1'b0}} :
assign o4[7:0] = ra4[4:0]==5'd0 ? {8{1'b0}} :
Line 429... Line 417...
        (wr1 && we1[5] && (ra4==wa1)) ? i1[47:40] :
        (wr1 && we1[5] && (ra4==wa1)) ? i1[47:40] :
        (wr0 && we0[5] && (ra4==wa0)) ? i0[47:40] : o04[47:40];
        (wr0 && we0[5] && (ra4==wa0)) ? i0[47:40] : o04[47:40];
assign o4[55:48] = ra4[4:0]==5'd0 ? {8{1'b0}} :
assign o4[55:48] = ra4[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[6] && (ra4==wa1)) ? i1[55:48] :
        (wr1 && we1[6] && (ra4==wa1)) ? i1[55:48] :
        (wr0 && we0[6] && (ra4==wa0)) ? i0[55:48] : o04[55:48];
        (wr0 && we0[6] && (ra4==wa0)) ? i0[55:48] : o04[55:48];
assign o4[55:48] = ra4[4:0]==5'd0 ? {8{1'b0}} :
 
        (wr1 && we1[6] && (ra4==wa1)) ? i1[55:48] :
 
        (wr0 && we0[6] && (ra4==wa0)) ? i0[55:48] : o04[55:48];
 
assign o4[63:56] = ra4[4:0]==5'd0 ? {8{1'b0}} :
assign o4[63:56] = ra4[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[7] && (ra4==wa1)) ? i1[63:56] :
        (wr1 && we1[7] && (ra4==wa1)) ? i1[63:56] :
        (wr0 && we0[7] && (ra4==wa0)) ? i0[63:56] : o04[63:56];
        (wr0 && we0[7] && (ra4==wa0)) ? i0[63:56] : o04[63:56];
 
 
assign o5[7:0] = ra5[4:0]==5'd0 ? {8{1'b0}} :
assign o5[7:0] = ra5[4:0]==5'd0 ? {8{1'b0}} :
Line 457... Line 442...
        (wr1 && we1[5] && (ra5==wa1)) ? i1[47:40] :
        (wr1 && we1[5] && (ra5==wa1)) ? i1[47:40] :
        (wr0 && we0[5] && (ra5==wa0)) ? i0[47:40] : o05[47:40];
        (wr0 && we0[5] && (ra5==wa0)) ? i0[47:40] : o05[47:40];
assign o5[55:48] = ra5[4:0]==5'd0 ? {8{1'b0}} :
assign o5[55:48] = ra5[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[6] && (ra5==wa1)) ? i1[55:48] :
        (wr1 && we1[6] && (ra5==wa1)) ? i1[55:48] :
        (wr0 && we0[6] && (ra5==wa0)) ? i0[55:48] : o05[55:48];
        (wr0 && we0[6] && (ra5==wa0)) ? i0[55:48] : o05[55:48];
assign o5[55:48] = ra5[4:0]==5'd0 ? {8{1'b0}} :
 
        (wr1 && we1[6] && (ra5==wa1)) ? i1[55:48] :
 
        (wr0 && we0[6] && (ra5==wa0)) ? i0[55:48] : o05[55:48];
 
assign o5[63:56] = ra5[4:0]==5'd0 ? {8{1'b0}} :
assign o5[63:56] = ra5[4:0]==5'd0 ? {8{1'b0}} :
        (wr1 && we1[7] && (ra5==wa1)) ? i1[63:56] :
        (wr1 && we1[7] && (ra5==wa1)) ? i1[63:56] :
        (wr0 && we0[7] && (ra5==wa0)) ? i0[63:56] : o05[63:56];
        (wr0 && we0[7] && (ra5==wa0)) ? i0[63:56] : o05[63:56];
/*
/*
assign o5 = ra5[4:0]==5'd0 ? {WID{1'b0}} :
assign o5 = ra5[4:0]==5'd0 ? {WID{1'b0}} :

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.