OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64v5/] [software/] [AS64/] [source/] [main.cpp] - Diff between revs 54 and 55

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 54 Rev 55
Line 164... Line 164...
   if (gCpu=='F') {
   if (gCpu=='F') {
    fprintf(ofp, "%d compressable instructions\n", htblmax);
    fprintf(ofp, "%d compressable instructions\n", htblmax);
    fprintf(ofp, "The top 256 are:\n", htblmax);
    fprintf(ofp, "The top 256 are:\n", htblmax);
    fprintf(ofp, "Comp  Opcode  Count\n");
    fprintf(ofp, "Comp  Opcode  Count\n");
    for (nn = 0; nn < htblmax && nn < 256; nn++) {
    for (nn = 0; nn < htblmax && nn < 256; nn++) {
        fprintf(ofp, " %03X %08X %d\n", nn, hTable[nn].opcode, hTable[nn].count);
        fprintf(ofp, " %03X %012I64X %d\n", nn, hTable[nn].opcode, hTable[nn].count);
    }
    }
        return;
        return;
   }
   }
    fprintf(ofp, "%d compressable instructions\n", htblmax);
    fprintf(ofp, "%d compressable instructions\n", htblmax);
    fprintf(ofp, "The top 1024 are:\n", htblmax);
    fprintf(ofp, "The top 1024 are:\n", htblmax);
Line 861... Line 861...
void process_dh_htbl()
void process_dh_htbl()
{
{
        int nn;
        int nn;
 
 
        if (gCpu=='F') {
        if (gCpu=='F') {
                emitHalf(htblmax > 1024 ? 1024 : htblmax);
                emitWord(htblmax > 1024 ? 1024 : htblmax);
                for (nn = 0; nn < htblmax && nn < 1024; nn++) {
                for (nn = 0; nn < htblmax && nn < 1024; nn++) {
                        emitHalf(hTable[nn].opcode);
                        emitWord(hTable[nn].opcode);
                }
                }
                return;
                return;
        }
        }
        else if (gCpu==7)
        else if (gCpu==7)
                emitByte(htblmax > 1024 ? 1024 : htblmax);
                emitByte(htblmax > 1024 ? 1024 : htblmax);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.