OpenCores
URL https://opencores.org/ocsvn/thor/thor/trunk

Subversion Repositories thor

[/] [thor/] [trunk/] [FT64v5/] [software/] [AS64/] [source/] [symbol.cpp] - Diff between revs 48 and 54

Show entire file | Details | Blame | View Log

Rev 48 Rev 54
Line 142... Line 142...
         ts.value.high = 0;
         ts.value.high = 0;
     ts.defined = 0;
     ts.defined = 0;
     ts.segment = segment;
     ts.segment = segment;
     ts.scope = ' ';
     ts.scope = ' ';
     ts.isExtern = 0;
     ts.isExtern = 0;
 
                 ts.isMacro = false;
     p = insert_symbol(&ts);
     p = insert_symbol(&ts);
     numsym++;
     numsym++;
     return p;
     return p;
}
}
 
 
Line 180... Line 181...
    fprintf(ofp, "%d symbols\n", numsym);
    fprintf(ofp, "%d symbols\n", numsym);
    fprintf(ofp, "  Symbol Name                              seg     address bits\n");
    fprintf(ofp, "  Symbol Name                              seg     address bits\n");
    for (nn = 0; nn < ii; nn++) {
    for (nn = 0; nn < ii; nn++) {
//        qq = symorder[nn];
//        qq = symorder[nn];
        dp = &pt[nn];
        dp = &pt[nn];
        if (dp->name)
        if (dp->name && !dp->isMacro)
        fprintf(ofp, "%c %-40s %6s  %06llx %d\n", dp->phaserr, nmTable.GetName(dp->name), segname(dp->segment), dp->value.low, dp->bits);
        fprintf(ofp, "%c %-40s %6s  %06llx %d\n", dp->phaserr, nmTable.GetName(dp->name), segname(dp->segment), dp->value.low, dp->bits);
    }
    }
 
                fprintf(ofp, "\n  Macro Name\n");
 
                for (nn = 0; nn < ii; nn++) {
 
                        dp = &pt[nn];
 
                        if (dp->name && dp->isMacro) {
 
                                fprintf(ofp, " %-40s  %d\n", nmTable.GetName(dp->name), dp->macro->parms.count);
 
                                fprintf(ofp, "%s", dp->macro->body);
 
                                fprintf(ofp, "\n");
 
                        }
 
                }
}
}
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.