OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [src/] [vhdl/] [ioport.vhd] - Diff between revs 2 and 10

Show entire file | Details | Blame | View Log

Rev 2 Rev 10
Line 36... Line 36...
                -- port interface
                -- port interface
                PnIN    : IN  STD_LOGIC_VECTOR(7 DOWNTO 0); -- data from pad (gpio in)
                PnIN    : IN  STD_LOGIC_VECTOR(7 DOWNTO 0); -- data from pad (gpio in)
                PnOUT           : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- data to pad (gpio out)
                PnOUT           : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- data to pad (gpio out)
                PnOEN   : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- port direction (low active)
                PnOEN   : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- port direction (low active)
 
 
                -- MODxIN   : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);         -- data to peripheral
 
                -- MODxDIR  : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);         -- direction
 
                -- MODxOUT  : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);         -- data from peripheral 
 
 
 
                rst_n           : IN STD_LOGIC
                rst_n           : IN STD_LOGIC
                );
                );
END ioport;
END ioport;
 
 
ARCHITECTURE beh OF ioport IS
ARCHITECTURE beh OF ioport IS
Line 180... Line 176...
        end generate;
        end generate;
 
 
        -- PxIN
        -- PxIN
        PxIN <= PnIN;
        PxIN <= PnIN;
 
 
        -- MODxOUT
 
--      gen_MODxOUT: for i in 0 to 7 generate
 
--      begin
 
--              MODxOUT_proc: process (rst_n, PxIN, PxSEL)
 
--              begin
 
--                      if rst_n = '0' then
 
--                              MODxOUT(i) <= '0';
 
--                      elsif PxSEL(i) = '1' then
 
--                              MODxOUT(i) <= PxIN(i);
 
--                      end if;
 
--              end process;
 
--      end generate;
 
 
 
        irq_en : process(rst_n, clk_s)
        irq_en : process(rst_n, clk_s)
        begin
        begin
                IF (rst_n = '0') THEN
                IF (rst_n = '0') THEN
                         IRQ_S <= '0';
                         IRQ_S <= '0';
                ELSE
                ELSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.