OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [src/] [vhdl/] [proc/] [instDecoder.vhd] - Diff between revs 2 and 9

Show entire file | Details | Blame | View Log

Rev 2 Rev 9
Line 1... Line 1...
-----------------------------------------------------------------
-------------------------------------------------------------------------------
-- Project: Aeternitas
--
 
-- Design:  tinyVLIW8 soft-core processor
-- Author:  Oliver Stecklina <stecklina@ihp-microelectronics.com>
-- Author:  Oliver Stecklina <stecklina@ihp-microelectronics.com>
-- Date:    03.02.2014 
-- Date:    03.02.2014 
-- File:    instDecoder.vhd
-- File:    instDecoder.vhd
-- Design:  AeternitasSWUR
--
-----------------------------------------------------------------
-------------------------------------------------------------------------------
 
--
-- Description : This unit is the instruction set decoder of the
-- Description : This unit is the instruction set decoder of the
--               embedded 8-bit VLIW processor.
--               embedded 8-bit VLIW processor.
-----------------------------------------------------------------
--
-- $Log$
-------------------------------------------------------------------------------
-----------------------------------------------------------------
--
 
--    Copyright (C) 2015 IHP GmbH, Frankfurt (Oder), Germany
 
--
 
-- This code is free software. It is licensed under the EUPL, Version 1.1
 
-- or - as soon they will be approved by the European Commission - subsequent
 
-- versions of the EUPL (the "License").
 
-- You may redistribute this code and/or modify it under the terms of this
 
-- License.
 
-- You may not use this work except in compliance with the License.
 
-- You may obtain a copy of the License at:
 
--
 
-- http://joinup.ec.europa.eu/software/page/eupl/licence-eupl
 
--
 
-- Unless required by applicable law or agreed to in writing, software
 
-- distributed under the License is distributed on an "AS IS" basis,
 
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 
-- See the License for the specific language governing permissions and
 
-- limitations under the License.
 
--
 
-------------------------------------------------------------------------------
 
 
library ieee;
library ieee;
 
 
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.