OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [src/] [vhdl/] [proc/] [irqCntl.vhd] - Diff between revs 2 and 9

Show entire file | Details | Blame | View Log

Rev 2 Rev 9
Line 125... Line 125...
                        irq_s <= '0';
                        irq_s <= '0';
 
 
                        irqAddr_s    <= (others => '0');
                        irqAddr_s    <= (others => '0');
                        irqLineOut_s <= (others => '0');
                        irqLineOut_s <= (others => '0');
                else
                else
                        if (irqUpd_s'event and irqUpd_s = '1') then
                        if (irqUpd_s'event and irqUpd_s = '0') then
                                if (irqLine_s = '1') then
                                if (irqLine_s = '1') then
                                        irq_s <= '1';
                                        irq_s <= '1';
 
 
                                        if (irqLineIn_s(3) = '1') then
                                        if (irqLineIn_s(3) = '1') then
                                                irqAddr_s <= "11";
                                                irqAddr_s <= "11";
Line 152... Line 152...
                end if;
                end if;
        end process;
        end process;
 
 
        irqLine_s <= irqLineIn_s(0) or irqLineIn_s(1) or irqLineIn_s(2) or irqLineIn_s(3);
        irqLine_s <= irqLineIn_s(0) or irqLineIn_s(1) or irqLineIn_s(2) or irqLineIn_s(3);
 
 
        irqUpd_s <= state(2) when enable = '1' and stalled_n = '1' else
        irqUpd_s <= state(3) when enable = '1' and stalled_n = '1' else
                    '1'      when enable = '1' and irqLine_s = '1' else
                    '0'      when enable = '1' and irqLine_s = '1' else
                                        '0';
                    '1';
 
 
        irqAck <= irq_s;
        irqAck <= irq_s;
 
 
end behavior;
end behavior;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.