OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [core/] [digital_core.v] - Diff between revs 76 and 79

Show entire file | Details | Blame | View Log

Rev 76 Rev 79
Line 526... Line 526...
 
 
 
 
 
 
 
 
 
 
wb_rd_mem2mem #(32,4,13,4) u_wb_gmac_tx (
wb_rd_mem2mem #(.D_WD(32),.BE_WD(4),.ADR_WD(13),.TAR_WD(4)) u_wb_gmac_tx (
 
 
          .rst_n               ( gen_resetn         ),
          .rst_n               ( gen_resetn         ),
          .clk                 ( app_clk            ),
          .clk                 ( app_clk            ),
 
 
    // descriptor handshake
    // descriptor handshake
Line 556... Line 556...
          .wbo_err             ( wbgt_err           ),
          .wbo_err             ( wbgt_err           ),
          .wbo_rty             ( wbgt_rty           )
          .wbo_rty             ( wbgt_rty           )
         );
         );
 
 
 
 
wb_wr_mem2mem #(32,4,13,4) u_wb_gmac_rx(
wb_wr_mem2mem #(.D_WD(32),.BE_WD(4),.ADR_WD(13),.TAR_WD(4)) u_wb_gmac_rx(
 
 
          .rst_n               ( gen_resetn   ),
          .rst_n               ( gen_resetn   ),
          .clk                 ( app_clk      ),
          .clk                 ( app_clk      ),
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.