OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [gmac/] [mac/] [g_rx_fsm.v] - Diff between revs 61 and 70

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 61 Rev 70
Line 359... Line 359...
      lengthfield_error = 1'b0;
      lengthfield_error = 1'b0;
      addr_stat_chk = 1'b0;
      addr_stat_chk = 1'b0;
      clr_rx_error_from_rx_fsm = 1'b0;
      clr_rx_error_from_rx_fsm = 1'b0;
 
 
 
 
      casex(rx_fsm_cur_st)       // synopsys parallel_case full_case
      case(rx_fsm_cur_st)
        rx_fsm_idle_st:
        rx_fsm_idle_st:
          // Waiting for packet from mii block
          // Waiting for packet from mii block
          // Continues accepting data only if
          // Continues accepting data only if
          // receive has been enabled
          // receive has been enabled
          begin
          begin
Line 1104... Line 1104...
            end // if (rx_ch_en)
            end // if (rx_ch_en)
        end // else: !if(!reset_n)
        end // else: !if(!reset_n)
    end // always @ (posedge phy_rx_clk...
    end // always @ (posedge phy_rx_clk...
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.