OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [gmac/] [mac/] [g_tx_top.v] - Diff between revs 77 and 78

Show entire file | Details | Blame | View Log

Rev 77 Rev 78
Line 159... Line 159...
 
 
 
 
 
 
   // Instantiate Transmit State machine block
   // Instantiate Transmit State machine block
   g_tx_fsm U_tx_fsm(
   g_tx_fsm U_tx_fsm(
           .app_clk(app_clk), /
           .app_clk(app_clk),
           .set_fifo_undrn(set_fifo_undrn),
           .set_fifo_undrn(set_fifo_undrn),
 
 
            //Outputs
            //Outputs
           .tx_commit_read(tx_commit_read),
           .tx_commit_read(tx_commit_read),
           .tx_dt_rd(tx_dt_rd),
           .tx_dt_rd(tx_dt_rd),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.