OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [spi/] [spi_ctl.v] - Diff between revs 7 and 70

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 70
Line 271... Line 271...
         end
         end
      end
      end
 
 
      `SPI_CS_HLD : begin
      `SPI_CS_HLD : begin
         if(sck_ne) begin
         if(sck_ne) begin
             cs_int_n = cs_data[0];
             cs_int_n <= cs_data[0];
            if(sck_cnt == cfg_sck_cs_period) begin
            if(sck_cnt == cfg_sck_cs_period) begin
               if(cfg_op_type == 1) begin // Read Mode
               if(cfg_op_type == 1) begin // Read Mode
                  cfg_dataout <= (byte_cnt[1:0] == 2'b00) ? { byte_in, cfg_dataout[23:0] } :
                  cfg_dataout <= (byte_cnt[1:0] == 2'b00) ? { byte_in, cfg_dataout[23:0] } :
                                 (byte_cnt[1:0] == 2'b01) ? { cfg_dataout[31:24] ,
                                 (byte_cnt[1:0] == 2'b01) ? { cfg_dataout[31:24] ,
                                                              byte_in, cfg_dataout[15:0] } :
                                                              byte_in, cfg_dataout[15:0] } :
Line 306... Line 306...
    endcase // casex(spiif_cs)
    endcase // casex(spiif_cs)
   end
   end
end // always @(sck_ne
end // always @(sck_ne
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.