OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [agents/] [uart/] [uart_agent.v] - Diff between revs 76 and 79

Show entire file | Details | Blame | View Log

Rev 76 Rev 79
Line 130... Line 130...
endtask
endtask
 
 
 
 
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
task read_char_chk;
task read_char_chk;
input   expected_data;
input [7:0] expected_data;
 
 
integer i;
integer i;
reg     [7:0] expected_data;
 
reg     [7:0] data;
reg     [7:0] data;
reg     parity;
reg     parity;
 
 
begin
begin
        data <= 8'h0;
        data <= 8'h0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.