OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [lib/] [tb_glbl.v] - Diff between revs 74 and 79

Show entire file | Details | Blame | View Log

Rev 74 Rev 79
Line 38... Line 38...
 
 
 
 
  task test_err;
  task test_err;
        begin
        begin
        err_count = err_count + 1;
        err_count = err_count + 1;
        $display ("A200 TB => %t ns ERROR :: %m ERROR detected %d ",$time, err_count );
        $display ("TB => %t ns ERROR :: %m ERROR detected %d ",$time, err_count );
        end
        end
  endtask
  endtask
 
 
  task test_warn;
  task test_warn;
        begin
        begin
    warn_count = warn_count + 1;
    warn_count = warn_count + 1;
    $display ("A200 TB => %t ns WARNING :: %m Warning %d ",$time, warn_count );
    $display ("TB => %t ns WARNING :: %m Warning %d ",$time, warn_count );
        end
        end
  endtask
  endtask
 
 
  task test_stats;
  task test_stats;
  begin
  begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.