OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [lib/] [tb_glbl.v] - Diff between revs 15 and 74

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 74
Line 19... Line 19...
    warn_count = 32'h0;
    warn_count = 32'h0;
    end
    end
  endtask
  endtask
 
 
  task test_pass;
  task test_pass;
 
  begin
   $display ("\n=========");
   $display ("\n=========");
   $display ("Test Status: TEST PASSED");
   $display ("Test Status: TEST PASSED");
   $display ("=========\n");
   $display ("=========\n");
 
  end
  endtask
  endtask
 
 
  task test_fail;
  task test_fail;
 
  begin
   $display ("\n=========");
   $display ("\n=========");
   $display ("Test Status: TEST FAILED");
   $display ("Test Status: TEST FAILED");
   $display ("=========\n");
   $display ("=========\n");
 
 end
  endtask
  endtask
 
 
 
 
  task test_err;
  task test_err;
        begin
        begin
Line 46... Line 50...
    $display ("A200 TB => %t ns WARNING :: %m Warning %d ",$time, warn_count );
    $display ("A200 TB => %t ns WARNING :: %m Warning %d ",$time, warn_count );
        end
        end
  endtask
  endtask
 
 
  task test_stats;
  task test_stats;
 
  begin
   $display ("\n-------------------------------------------------");
   $display ("\n-------------------------------------------------");
   $display ("Test Status");
   $display ("Test Status");
   $display ("warnings: %0d, errors: %0d",warn_count,err_count);
   $display ("warnings: %0d, errors: %0d",warn_count,err_count);
 
  end
  endtask
  endtask
 
 
  task test_finish;
  task test_finish;
   begin
   begin
     test_stats;
     test_stats;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.