OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [log/] [gmac_test_2.log] - Diff between revs 78 and 79

Show entire file | Details | Blame | View Log

Rev 78 Rev 79
Line 257... Line 257...
#      Alig Err   cnt:           0
#      Alig Err   cnt:           0
#      usized Err cnt:           0
#      usized Err cnt:           0
#      crc Err    cnt:           0
#      crc Err    cnt:           0
#      Length Err cnt:           0
#      Length Err cnt:           0
#############################
#############################
# A200 TB =>               171820 ns ERROR :: tb_top.tb_glbl.test_err ERROR detected          1
# TB =>               171820 ns ERROR :: tb_top.tb_glbl.test_err ERROR detected          1
# A200 TB =>               171820 ns ERROR :: tb_top.tb_glbl.test_err ERROR detected          2
# TB =>               171820 ns ERROR :: tb_top.tb_glbl.test_err ERROR detected          2
#
#
# -------------------------------------------------
# -------------------------------------------------
# Test Status
# Test Status
# warnings: 0, errors: 2
# warnings: 0, errors: 2
#
#

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.