OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [log/] [gmac_test_2.log] - Diff between revs 76 and 78

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 76 Rev 78
Line 1... Line 1...
Reading D:/Microsemi/Libero_v10.1/Model/tcl/vsim/pref.tcl
Reading pref.tcl
 
 
# 10.1b
# 2020.3
 
 
# vsim +gmac_test_2 -do run.do -c tb_top
# vsim -do "run.do" -c tb_top "+gmac_test_2"
# //  ModelSim ACTEL 10.1b Apr 27 2012
# Start time: 19:19:11 on Aug 18,2022
 
# //  ModelSim Microsemi 2020.3 Jul 13 2020 Linux 5.15.0-41-generic
# //
# //
# //  Copyright 1991-2012 Mentor Graphics Corporation
# //  Copyright 1991-2020 Mentor Graphics Corporation
# //  All Rights Reserved.
# //  All Rights Reserved.
# //
# //
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //  ModelSim Microsemi and its associated documentation contain trade
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //  secrets and commercial or financial information that are the property of
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //  Mentor Graphics Corporation and are privileged, confidential,
 
# //  and exempt from disclosure under the Freedom of Information Act,
 
# //  5 U.S.C. Section 552. Furthermore, this information
 
# //  is prohibited from disclosure under the Trade Secrets Act,
 
# //  18 U.S.C. Section 1905.
# //
# //
# Loading sv_std.std
# Loading sv_std.std
# Loading work.tb_top
# Loading work.tb_top
# Loading work.digital_core
# Loading work.digital_core
# Loading work.clkgen
# Loading work.clkgen
Line 86... Line 91...
# Loading work.acdc_check
# Loading work.acdc_check
# Loading work.internal_logic
# Loading work.internal_logic
# Loading work.AT45DB321
# Loading work.AT45DB321
# Loading work.tb_glbl
# Loading work.tb_glbl
# Loading work.bit_register
# Loading work.bit_register
# ** Warning: (vsim-PLI-3003) ../tb/tb_top.v(397): [TOFD] - System task or function '$shm_open' is not defined.
# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for 'u_core'.  Expected 50, found 44.
#
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core File: ../tb/tb_top.v Line: 162
#         Region: /tb_top
# ** Warning: (vsim-3015) [PCDPC] - Port size (8) does not match connection size (4) for port 'phy_txd'. The port definition is at: ../../rtl/core/digital_core.v(29).
# ** Warning: (vsim-PLI-3003) ../tb/tb_top.v(398): [TOFD] - System task or function '$shm_probe' is not defined.
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core File: ../tb/tb_top.v Line: 162
#
# ** Warning: (vsim-3015) [PCDPC] - Port size (8) does not match connection size (4) for port 'phy_rxd'. The port definition is at: ../../rtl/core/digital_core.v(35).
#         Region: /tb_top
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core File: ../tb/tb_top.v Line: 162
# ** Warning: (vsim-3017) ../tb/tb_top.v(235): [TFMPC] - Too few port connections. Expected 50, found 44.
# ** Warning: (vsim-3722) ../tb/tb_top.v(162): [TFMPC] - Missing connection for port 'scan_mode'.
#
# ** Warning: (vsim-3722) ../tb/tb_top.v(162): [TFMPC] - Missing connection for port 'scan_enable'.
#         Region: /tb_top/u_core
# ** Warning: (vsim-3722) ../tb/tb_top.v(162): [TFMPC] - Missing connection for port 'mdio_clk'.
# ** Warning: (vsim-3015) ../tb/tb_top.v(235): [PCDPC] - Port size (8 or 8) does not match connection size (4) for port 'phy_txd'. The port definition is at: ../../rtl/core/digital_core.v(29).
# ** Warning: (vsim-3722) ../tb/tb_top.v(162): [TFMPC] - Missing connection for port 'mdio_in'.
#
# ** Warning: (vsim-3722) ../tb/tb_top.v(162): [TFMPC] - Missing connection for port 'mdio_out'.
#         Region: /tb_top/u_core
# ** Warning: (vsim-3722) ../tb/tb_top.v(162): [TFMPC] - Missing connection for port 'mdio_out_en'.
# ** Warning: (vsim-3015) ../tb/tb_top.v(235): [PCDPC] - Port size (8 or 8) does not match connection size (4) for port 'phy_rxd'. The port definition is at: ../../rtl/core/digital_core.v(35).
# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for 'u_rxfifo'.  Expected 14, found 12.
#
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core/u_uart_core/u_rxfifo File: ../../rtl/uart/uart_core.v Line: 200
#         Region: /tb_top/u_core
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(200): [TFMPC] - Missing connection for port 'afull'.
# ** Warning: (vsim-3722) ../tb/tb_top.v(235): [TFMPC] - Missing connection for port 'scan_mode'.
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(200): [TFMPC] - Missing connection for port 'aempty'.
#
# ** Warning: (vsim-2685) [TFMPC] - Too few port connections for 'u_txfifo'.  Expected 14, found 12.
# ** Warning: (vsim-3722) ../tb/tb_top.v(235): [TFMPC] - Missing connection for port 'scan_enable'.
#    Time: 0 ps  Iteration: 0  Instance: /tb_top/u_core/u_uart_core/u_txfifo File: ../../rtl/uart/uart_core.v Line: 216
#
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(216): [TFMPC] - Missing connection for port 'afull'.
# ** Warning: (vsim-3722) ../tb/tb_top.v(235): [TFMPC] - Missing connection for port 'mdio_clk'.
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(216): [TFMPC] - Missing connection for port 'aempty'.
#
# ** Warning: (vsim-PLI-3003) [TOFD] - System task or function '$shm_open' is not defined.
# ** Warning: (vsim-3722) ../tb/tb_top.v(235): [TFMPC] - Missing connection for port 'mdio_in'.
#    Time: 0 ps  Iteration: 0  Instance: /tb_top File: ../tb/tb_top.v Line: 397
#
# ** Warning: (vsim-PLI-3003) [TOFD] - System task or function '$shm_probe' is not defined.
# ** Warning: (vsim-3722) ../tb/tb_top.v(235): [TFMPC] - Missing connection for port 'mdio_out'.
#    Time: 0 ps  Iteration: 0  Instance: /tb_top File: ../tb/tb_top.v Line: 398
#
 
# ** Warning: (vsim-3722) ../tb/tb_top.v(235): [TFMPC] - Missing connection for port 'mdio_out_en'.
 
#
 
# ** Warning: (vsim-3017) ../../rtl/uart/uart_core.v(214): [TFMPC] - Too few port connections. Expected 14, found 12.
 
#
 
#         Region: /tb_top/u_core/u_uart_core/u_rxfifo
 
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(214): [TFMPC] - Missing connection for port 'afull'.
 
#
 
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(214): [TFMPC] - Missing connection for port 'aempty'.
 
#
 
# ** Warning: (vsim-3017) ../../rtl/uart/uart_core.v(230): [TFMPC] - Too few port connections. Expected 14, found 12.
 
#
 
#         Region: /tb_top/u_core/u_uart_core/u_txfifo
 
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(230): [TFMPC] - Missing connection for port 'afull'.
 
#
 
# ** Warning: (vsim-3722) ../../rtl/uart/uart_core.v(230): [TFMPC] - Missing connection for port 'aempty'.
 
#
 
# do run.do
# do run.do
# i : 02
# i : 02
# i : 00
# i : 00
# i : 08
# i : 08
# i : 12
# i : 12
Line 141... Line 129...
# i : 75
# i : 75
# i : 81
# i : 81
# NOTE : Load memory with Initial delivery content
# NOTE : Load memory with Initial delivery content
# NOTE : Initial Load End
# NOTE : Initial Load End
# --> Dumpping the design
# --> Dumpping the design
 
# ** Error (suppressible): (vsim-12023) Cannot execute undefined system task/function '$shm_open'
 
#    Time: 0 ps  Iteration: 0  Process: /tb_top/#INITIAL#395 File: ../tb/tb_top.v Line: 397
 
# ** Error (suppressible): (vsim-12023) Cannot execute undefined system task/function '$shm_probe'
 
#    Time: 0 ps  Iteration: 0  Process: /tb_top/#INITIAL#395 File: ../tb/tb_top.v Line: 398
 
# ** Warning: (vsim-3533) [FOFIW] - Failed to open file "../test_log_files/test1_events.log" for writing.
 
# No such file or directory. (errno = ENOENT)    : ../testcase/gmac_test2.v(20)
 
#    Time: 0 ps  Iteration: 0  Instance: /tb_top
# NOTE: COMMUNICATION (RE)STARTED
# NOTE: COMMUNICATION (RE)STARTED
# Config-Write: Id: 1 Addr = 0000, Cfg. Data = 00114501
# Config-Write: Id: 1 Addr = 0000, Cfg. Data = 00114501
# Config-Write: Id: 1 Addr = 0008, Cfg. Data = 00001616
# Config-Write: Id: 1 Addr = 0008, Cfg. Data = 00001616
# Clock period configured = 40 ns, data width = 4
# Clock period configured = 40 ns, data width = 4
# Config-Write: Id: 1 Addr = 0024, Cfg. Data = 70407000
# Config-Write: Id: 1 Addr = 0024, Cfg. Data = 70407000
Line 277... Line 272...
#
#
# =========
# =========
# Test Status: TEST FAILED
# Test Status: TEST FAILED
# =========
# =========
#
#
 
# ** Note: $finish    : ../lib/tb_glbl.v(70)
 
#    Time: 172821 ps  Iteration: 0  Instance: /tb_top
 
# End time: 19:19:13 on Aug 18,2022, Elapsed time: 0:00:02
 
# Errors: 2, Warnings: 18

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.