OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [verif/] [run/] [run_modelsim] - Diff between revs 64 and 79

Show entire file | Details | Blame | View Log

Rev 64 Rev 79
Line 8... Line 8...
set failedx = 0;
set failedx = 0;
set all_testsm = 0;
set all_testsm = 0;
set all_testsi = 0;
set all_testsi = 0;
set all_testsx = 0;
set all_testsx = 0;
 
 
set misc_tests=(gmac_test_2 gmac_test_1 uart_test_1 spi_test_1)
set misc_tests=(webserver gmac_test_1 gmac_test_2 uart_test_1 spi_test_1)
#set misc_tests=( )
#set misc_tests=( )
 
 
set risc_ext_tests=(fib divmul sort gcd cast xram)
set risc_ext_tests=(fib divmul sort gcd cast xram)
 
 
set risc_int_tests=(fib divmul sort gcd cast xram)
set risc_int_tests=(fib divmul sort gcd cast xram)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.