OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [app_localcfg/] [behave1p_mem.v] - Diff between revs 101 and 103

Show entire file | Details | Blame | View Log

Rev 101 Rev 103
Line 36... Line 36...
        end
        end
    end // always @ (posedge clk)
    end // always @ (posedge clk)
 
 
  assign d_out = array[r_addr];
  assign d_out = array[r_addr];
 
 
  genvar g;
 
 
 
  generate
 
    for (g=0; g<depth; g=g+1)
 
      begin : breakout
 
        wire [width-1:0] brk;
 
 
 
        assign brk=array[g];
 
      end
 
  endgenerate
 
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.