OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [app_localcfg/] [lcfg_cfgo_driver.v] - Diff between revs 101 and 103

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 101 Rev 103
Line 127... Line 127...
     .cfg_data0_rd_ack                  (state[s_ack]),
     .cfg_data0_rd_ack                  (state[s_ack]),
     .cfg_data[1-3]_rd_ack              (1'b1),
     .cfg_data[1-3]_rd_ack              (1'b1),
     .cfg_data[0-3]_wr_ack              (state[s_idle]),
     .cfg_data[0-3]_wr_ack              (state[s_idle]),
     .cfg_data\([0-3]\)_wr_stb          (wr_stb[\1]),
     .cfg_data\([0-3]\)_wr_stb          (wr_stb[\1]),
     .cfg_data\([0-3]\)_rd_stb          (rd_stb[\1]),
     .cfg_data\([0-3]\)_rd_stb          (rd_stb[\1]),
 
     .cfg_status ({4'h0,state}),
 );
 );
 */
 */
  lcfg_cfgo_regs cfgo_regs
  lcfg_cfgo_regs cfgo_regs
    (/*AUTOINST*/
    (/*AUTOINST*/
     // Outputs
     // Outputs
Line 167... Line 168...
     .cfg_data2_rd_ack                  (1'b1),                  // Templated
     .cfg_data2_rd_ack                  (1'b1),                  // Templated
     .cfg_data2_wr_ack                  (state[s_idle]),         // Templated
     .cfg_data2_wr_ack                  (state[s_idle]),         // Templated
     .cfg_data3_rd_data                 (chold[31:24]),          // Templated
     .cfg_data3_rd_data                 (chold[31:24]),          // Templated
     .cfg_data3_rd_ack                  (1'b1),                  // Templated
     .cfg_data3_rd_ack                  (1'b1),                  // Templated
     .cfg_data3_wr_ack                  (state[s_idle]),         // Templated
     .cfg_data3_wr_ack                  (state[s_idle]),         // Templated
     .cfg_status                        (cfg_status[7:0]));
     .cfg_status                        ({4'h0,state}));          // Templated
endmodule
endmodule
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.