OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [core/] [tv80_core.v] - Diff between revs 100 and 111

Show entire file | Details | Blame | View Log

Rev 100 Rev 111
Line 953... Line 953...
           or IncDec_16 or Read_To_Reg_r or Save_ALU_r or mcycle
           or IncDec_16 or Read_To_Reg_r or Save_ALU_r or mcycle
           or tstate or wait_n)
           or tstate or wait_n)
    begin
    begin
      RegWEH = 1'b0;
      RegWEH = 1'b0;
      RegWEL = 1'b0;
      RegWEL = 1'b0;
      if ((tstate[1] && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||
      if ((tstate[1] && ~Save_ALU_r && ~Auto_Wait_t1) ||
          (Save_ALU_r == 1'b1 && ALU_Op_r != 4'b0111) )
          (Save_ALU_r && (ALU_Op_r != 4'b0111)) )
        begin
        begin
          case (Read_To_Reg_r)
          case (Read_To_Reg_r)
            5'b10000 , 5'b10001 , 5'b10010 , 5'b10011 , 5'b10100 , 5'b10101 :
            5'b10000 , 5'b10001 , 5'b10010 , 5'b10011 , 5'b10100 , 5'b10101 :
              begin
              begin
                RegWEH = ~ Read_To_Reg_r[0];
                RegWEH = ~ Read_To_Reg_r[0];
Line 968... Line 968...
          endcase // case(Read_To_Reg_r)
          endcase // case(Read_To_Reg_r)
 
 
        end // if ((tstate == 1 && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||...
        end // if ((tstate == 1 && Save_ALU_r == 1'b0 && Auto_Wait_t1 == 1'b0) ||...
 
 
 
 
      if (ExchangeDH == 1'b1 && (tstate[3] || tstate[4]) )
      if (ExchangeDH && (tstate[3] || tstate[4]) )
        begin
        begin
          RegWEH = 1'b1;
          RegWEH = 1'b1;
          RegWEL = 1'b1;
          RegWEL = 1'b1;
        end
        end
 
 
      if (IncDec_16[2] && ((tstate[2] && ~wait_n && ~mcycle[0]) || (tstate[3] && mcycle[0])) )
      if (IncDec_16[2] && ((tstate[2] && wait_n && ~mcycle[0]) || (tstate[3] && mcycle[0])) )
        begin
        begin
          case (IncDec_16[1:0])
          case (IncDec_16[1:0])
            2'b00 , 2'b01 , 2'b10 :
            2'b00 , 2'b01 , 2'b10 :
              begin
              begin
                RegWEH = 1'b1;
                RegWEH = 1'b1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.