OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] [bench/] [helpers/] [regFileModel.vhd] - Diff between revs 11 and 13

Only display areas with differences | Details | Blame | View Log

Rev 11 Rev 13
-----------------------------------------------------------------------------------------
-----------------------------------------------------------------------------------------
-- register file model as a simple memory 
-- register file model as a simple memory 
--
--
-----------------------------------------------------------------------------------------
-----------------------------------------------------------------------------------------
library ieee;
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
 
 
entity regFileModel is
entity regFileModel is
  port ( -- global signals
  port ( -- global signals
         clr        : in  std_logic;                     -- global reset input
         clr        : in  std_logic;                     -- global reset input
         clk        : in  std_logic;                     -- global clock input
         clk        : in  std_logic;                     -- global clock input
         -- internal bus to register file
         -- internal bus to register file
         intAddress : in  std_logic_vector(7 downto 0);  -- address bus to register file
         intAddress : in  std_logic_vector(7 downto 0);  -- address bus to register file
         intWrData  : in  std_logic_vector(7 downto 0);  -- write data to register file
         intWrData  : in  std_logic_vector(7 downto 0);  -- write data to register file
         intWrite   : in  std_logic;                     -- write control to register file
         intWrite   : in  std_logic;                     -- write control to register file
         intRead    : in  std_logic;                     -- read control to register file
         intRead    : in  std_logic;                     -- read control to register file
         intRdData  : out std_logic_vector(7 downto 0)); -- data read from register file
         intRdData  : out std_logic_vector(7 downto 0)); -- data read from register file
end regFileModel;
end regFileModel;
 
 
architecture Behavioral of regFileModel is
architecture Behavioral of regFileModel is
 
 
  type RAM is array (integer range <>)of std_logic_vector (7 downto 0);
  type RAM is array (integer range <>)of std_logic_vector (7 downto 0);
  signal regFile : RAM (0 to 255);
  signal regFile : RAM (0 to 255);
 
 
  begin
  begin
    -- register file write
    -- register file write
    process (clr, clk)
    process (clr, clk)
    begin
    begin
      if (clr = '1') then
      if (clr = '1') then
        for index in 0 to 255 loop
        for index in 0 to 255 loop
          regFile(index) <= (others => '0');
          regFile(index) <= (others => '0');
        end loop;
        end loop;
      elsif (rising_edge(clk)) then
      elsif (rising_edge(clk)) then
        if (intWrite = '1') then
        if (intWrite = '1') then
          regFile(conv_integer(intAddress)) <= intWrData;
          regFile(to_integer(unsigned(intAddress))) <= intWrData;
        end if;
        end if;
      end if;
      end if;
    end process;
    end process;
    -- register file read
    -- register file read
    process (clr, clk)
    process (clr, clk)
    begin
    begin
      if (clr = '1') then
      if (clr = '1') then
        intRdData <= (others => '0');
        intRdData <= (others => '0');
      elsif (rising_edge(clk)) then
      elsif (rising_edge(clk)) then
        if (intRead = '1') then
        if (intRead = '1') then
          intRdData <= regFile(conv_integer(intAddress));
          intRdData <= regFile(to_integer(unsigned(intAddress)));
        end if;
        end if;
      end if;
      end if;
    end process;
    end process;
  end Behavioral;
  end Behavioral;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.