OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] [rtl/] [uartRx.vhd] - Diff between revs 10 and 11

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 10 Rev 11
Line 1... Line 1...
-----------------------------------------------------------------------------------------
-----------------------------------------------------------------------------------------
-- uart receive module  
-- uart receive module  
--
--
-----------------------------------------------------------------------------------------
-----------------------------------------------------------------------------------------
library IEEE;
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.std_logic_unsigned.ALL;
 
 
entity uartRx is
entity uartRx is
  port ( clr       : in  std_logic;                    -- global reset input
  port ( clr       : in  std_logic;                    -- global reset input
         clk       : in  std_logic;                    -- global clock input
         clk       : in  std_logic;                    -- global clock input
         ce16      : in  std_logic;                    -- baud rate multiplyed by 16 - generated by baud module
         ce16      : in  std_logic;                    -- baud rate multiplyed by 16 - generated by baud module
Line 58... Line 58...
      if (clr = '1') then
      if (clr = '1') then
        rxBusy <= '0';
        rxBusy <= '0';
      elsif (rising_edge(clk)) then
      elsif (rising_edge(clk)) then
        if ((rxBusy = '0') and (ce1Mid = '1')) then
        if ((rxBusy = '0') and (ce1Mid = '1')) then
          rxBusy <= '1';
          rxBusy <= '1';
        elsif ((rxBusy = '1') and (bitCount = "1000") and (ce1 = '1')) then
        elsif ((rxBusy = '1') and (bitCount = "1000") and (ce1Mid = '1')) then
          rxBusy <= '0';
          rxBusy <= '0';
        end if;
        end if;
      end if;
      end if;
    end process;
    end process;
    -- bit counter
    -- bit counter

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.