OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] [sim/] [test.txt] - Diff between revs 6 and 11

Show entire file | Details | Blame | View Log

Rev 6 Rev 11
?rev1line?
?rev2line?
 
w de 1a;
 
r 1a;
 
r 0a;
 
w 12 0a;
 
r 1a;
 
r 0a;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.