OpenCores
URL https://opencores.org/ocsvn/uart2bus/uart2bus/trunk

Subversion Repositories uart2bus

[/] [uart2bus/] [trunk/] [vhdl/] [syn/] [xilinx/] [uart2bus.xise] - Diff between revs 6 and 11

Show entire file | Details | Blame | View Log

Rev 6 Rev 11
Line 25... Line 25...
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
    
    
    
    
      
      
      
      
      
 
      
 
    
 
    
 
      
 
      
 
      
 
      
 
    
 
    
 
      
 
      
 
      
 
      
 
    
    
  
  
 
 
  
  
    
    
Line 78... Line 64...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 121... Line 107...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 140... Line 126...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 239... Line 225...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 296... Line 282...
    
    
    
    
    
    
    
    
    
    
    
 
    
    
    
    
    
    
    
    
    
    
Line 312... Line 297...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
Line 354... Line 339...
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
    
  
  
 
 
  
  
 
 
  
  

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.