OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [configuration/] [uart_config.svh] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
//-----------------------------------------------------------------------------
//-------------------------------------------------------------------------------------------------
//
//
//                             UART2BUS VERIFICATION
//                             UART2BUS VERIFICATION
//
//
//-----------------------------------------------------------------------------
//-------------------------------------------------------------------------------------------------// CREATOR    : HANY SALAH
// CREATOR    : HANY SALAH
 
// PROJECT    : UART2BUS UVM TEST BENCH
// PROJECT    : UART2BUS UVM TEST BENCH
// UNIT       : CONFIGURATION
// UNIT       : AGENT
//-----------------------------------------------------------------------------
//-------------------------------------------------------------------------------------------------// TITLE      : UART Configuration
// TITLE      : UART Configuration
// DESCRIPTION: UART Configuration INCLUDES INSTANCE OF THE THREE BFMS. ALSO INCLUDES THE WHOLE EN-
// DESCRIPTION: This
//              VIRONMENT CONFIGURATIONS THAT ARE SET IN THE TEST.
//-----------------------------------------------------------------------------
//-------------------------------------------------------------------------------------------------// LOG DETAILS
// LOG DETAILS
 
//-------------
//-------------
// VERSION      NAME        DATE        DESCRIPTION
// VERSION      NAME        DATE        DESCRIPTION
//    1       HANY SALAH    02012016    FILE CREATION
//    1       HANY SALAH    02012016    FILE CREATION
//-----------------------------------------------------------------------------
//    2       HANY SALAH    09022016    REFINE THE BLOCK DESCRIPTION AND ADD DESCRIPTIVE COMMENTS
// ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR
//-------------------------------------------------------------------------------------------------// ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR OPENCORES MEMBERS
// OPENCORES MEMBERS ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE
// ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE CREATOR'S PERMISSION
// CREATOR'S PERMISSION
//-------------------------------------------------------------------------------------------------
//-----------------------------------------------------------------------------
 
 
 
class uart_config extends uvm_object;
class uart_config extends uvm_object;
 
 
 
  // Uart BFM Instance
  virtual uart_interface    uart_inf;
  virtual uart_interface    uart_inf;
 
 
 
  // Register File BFM Instance
  virtual rf_interface      rf_inf;
  virtual rf_interface      rf_inf;
 
 
 
  // Arbiter BFM Instance
  virtual uart_arbiter      arb_inf;
  virtual uart_arbiter      arb_inf;
 
 
 
  // Active clock edge that would syncronize the whole system to be either the positive or the neg-
 
  // tive edge
  act_edge      _edge;
  act_edge      _edge;
 
 
 
  // Define the sequence to be used in transmitting one byte serially; either to start with the MSB
 
  // or the LSB.
  start_bit     _start;
  start_bit     _start;
 
 
 
  // Define the Represenetation of data through the text mode to be either ASCII or Binary
  data_mode                     _datamode;
  data_mode                     _datamode;
 
 
 
  // Define the number of stop bits at the final of each UART field to be one of two bits
  int                                   num_stop_bits;
  int                                   num_stop_bits;
 
 
 
  // Define the number of bits inbetween the start and the stop bits to be seven or eight bits
  int                                   num_of_bits;
  int                                   num_of_bits;
 
 
 
  // Define the parity mode used to be either no parity, odd parity or even parity.
  parity_mode           _paritymode;
  parity_mode           _paritymode;
 
 
 
  // Define the maximum time between the generated stimulus and the DUT response.
  time          response_time;
  time          response_time;
 
 
 
  // Define the possibility of generating false data through the read command. This attribute is
 
  // general control one that would be make this feature would be used or not. And another field
 
  // would be generated through the sequence.
 
  req           use_false_data;
 
 
  `uvm_object_utils(uart_config)
  `uvm_object_utils(uart_config)
 
 
  function new (string name = "uart_config");
  function new (string name = "uart_config");
    super.new(name);
    super.new(name);
  endfunction:new
  endfunction:new

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.