OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [driver/] [uart_driver.svh] - Diff between revs 3 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 8
Line 97... Line 97...
    rf_inf=_config.rf_inf;
    rf_inf=_config.rf_inf;
 
 
  if(!uvm_config_db#(virtual uart_arbiter)::get(this,"","arb_inf",_config.arb_inf))
  if(!uvm_config_db#(virtual uart_arbiter)::get(this,"","arb_inf",_config.arb_inf))
      `uvm_fatal("NOVIF",{"virtual interface must be set for:",get_full_name(),".arb_inf"})
      `uvm_fatal("NOVIF",{"virtual interface must be set for:",get_full_name(),".arb_inf"})
    arb_inf=_config.arb_inf;
    arb_inf=_config.arb_inf;
 
 
  initialize_bfms(_config._edge,
  initialize_bfms(_config._edge,
              _config._start,
              _config._start,
              _config.use_false_data,
              _config.use_false_data,
              _config.num_stop_bits,
              _config.num_stop_bits,
              _config.num_of_bits,
              _config.num_of_bits,
Line 118... Line 117...
                                            int num_of_bits,
                                            int num_of_bits,
                                            data_mode _datamode,
                                            data_mode _datamode,
                                            parity_mode _paritymode,
                                            parity_mode _paritymode,
                                            time      _resp);
                                            time      _resp);
  uart_inf.set_configuration (_edge,_bit,num_stop_bits,num_of_bits,_datamode,_paritymode,_resp,enable);
  uart_inf.set_configuration (_edge,_bit,num_stop_bits,num_of_bits,_datamode,_paritymode,_resp,enable);
 
 
endfunction:initialize_bfms
endfunction:initialize_bfms
 
 
task uart_driver::run_phase (uvm_phase phase);
task uart_driver::run_phase (uvm_phase phase);
 
 
  forever
  forever

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.