OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [monitor/] [uart_monitor.svh] - Diff between revs 3 and 14

Show entire file | Details | Blame | View Log

Rev 3 Rev 14
Line 38... Line 38...
  function new (string name, uvm_component parent);
  function new (string name, uvm_component parent);
    super.new(name,parent);
    super.new(name,parent);
  endfunction:new
  endfunction:new
 
 
  function void display_content ();
  function void display_content ();
    $display("here %s\n command_type = %p \n command = %p \n char_type = %p \n  space_type1 = %p \n  space_wrong1 = %8b \n  space_type2 = %p \n  space_wrong2 = %8b \n  eol_type = %p \n  eol_wrong = %8b \n  address = %h \n  data = %8b", get_full_name(),trans._mode,
    `uvm_info("TRACE","Printing the transaction content:",UVM_HIGH);
              trans._command,
     trans.print();
              trans._chartype,
 
              trans._spacetype1,
 
              trans.space_wrong1,
 
              trans._spacetype2,
 
              trans.space_wrong2,
 
              trans._eoltype,
 
              trans.eol_wrong,
 
              trans.address,
 
              trans._data[0]);
 
  endfunction:display_content
  endfunction:display_content
 
 
  extern function void build_phase (uvm_phase phase);
  extern function void build_phase (uvm_phase phase);
 
 
  extern function void connect_phase (uvm_phase phase);
  extern function void connect_phase (uvm_phase phase);
Line 105... Line 96...
 
 
  iteration = 0;
  iteration = 0;
  forever
  forever
    begin
    begin
    iteration++;
    iteration++;
    //trans.reset_fields();
 
    uart_inf.wait_event();
    uart_inf.wait_event();
    trans.acknowledge=8'b00;
    trans.acknowledge=8'b00;
 
 
    uart_inf.capture_command(command_type,
    uart_inf.capture_command(command_type,
                             _command,
                             _command,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.