OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [monitor/] [uart_monitor.svh] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 12... Line 12...
//-----------------------------------------------------------------------------
//-----------------------------------------------------------------------------
// LOG DETAILS
// LOG DETAILS
//-------------
//-------------
// VERSION      NAME        DATE        DESCRIPTION
// VERSION      NAME        DATE        DESCRIPTION
//    1       HANY SALAH    12012016    FILE CREATION
//    1       HANY SALAH    12012016    FILE CREATION
 
//    2       HANY SALAH    31012016    ADD INVALID WRITE CASE TO TRANSACTION
 
//                                      PACKETAIZATION METHOD
//-----------------------------------------------------------------------------
//-----------------------------------------------------------------------------
// ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR
// ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR
// OPENCORES MEMBERS ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE
// OPENCORES MEMBERS ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE
// CREATOR'S PERMISSION
// CREATOR'S PERMISSION
//-----------------------------------------------------------------------------
//-----------------------------------------------------------------------------
Line 97... Line 99...
  int _spacetype1;
  int _spacetype1;
  int _spacetype2;
  int _spacetype2;
  int _eoltype;
  int _eoltype;
  int _reqack;
  int _reqack;
  int _reqinc;
  int _reqinc;
 
  byte data_temp[$];
 
 
  iteration = 0;
  iteration = 0;
  forever
  forever
    begin
    begin
    iteration++;
    iteration++;
 
    //trans.reset_fields();
    uart_inf.wait_event();
    uart_inf.wait_event();
 
    trans.acknowledge=8'b00;
 
 
    uart_inf.capture_command(command_type,
    uart_inf.capture_command(command_type,
                             _command,
                             _command,
                             _chartype,
                             _chartype,
                             _spacetype1,
                             _spacetype1,
                             trans.space_wrong1,
                             trans.space_wrong1,
Line 125... Line 132...
   trans._spacetype1  = space_type'(_spacetype1);
   trans._spacetype1  = space_type'(_spacetype1);
   trans._spacetype2  = space_type'(_spacetype2);
   trans._spacetype2  = space_type'(_spacetype2);
   trans._eoltype     = eol_type '(_eoltype);
   trans._eoltype     = eol_type '(_eoltype);
   trans._reqinc      = req '(_reqinc);
   trans._reqinc      = req '(_reqinc);
   trans._reqack      = req '(_reqack);
   trans._reqack      = req '(_reqack);
   if (trans._command == write)
    if (trans._command == write || trans._command == invalid_write)
    begin
    begin
    if (trans._mode == text)
      if (trans._mode == text || trans._mode == wrong_mode_text)
      begin
      begin
      trans._data[0] = rf_inf.read_mem_data(trans.address[7:0]);
        trans._data[0] = rf_inf.read_mem_data(trans.address);
      end
      end
    else if (trans._mode == binary)
      else if (trans._mode == binary || trans._mode == wrong_mode_bin)
      begin
      begin
      rf_inf.read_block(trans.data_length,
        rf_inf.read_block(trans.length_data,
                        trans.address,
                        trans.address,
                        trans._data);
                        trans._data);
      end
      end
    end
    end
   //display_content();
   //display_content();
 
    trans._id = iteration;
   mon_scbd.write(trans);
   mon_scbd.write(trans);
   end
   end
 
 
endtask:run_phase
endtask:run_phase

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.