OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [transaction/] [uart_transaction.svh] - Diff between revs 3 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 13
Line 146... Line 146...
      }
      }
  }
  }
 
 
  extern function void do_copy (uvm_object rhs);
  extern function void do_copy (uvm_object rhs);
 
 
 
  extern function bit do_compare(uvm_object rhs);
 
 
 
 
endclass:uart_transaction
endclass:uart_transaction
 
 
 
 
function void uart_transaction::do_copy (uvm_object rhs);
function void uart_transaction::do_copy (uvm_object rhs);
  uart_transaction _trans;
  uart_transaction _trans;
Line 179... Line 182...
  wrong_prefix=_trans.wrong_prefix;
  wrong_prefix=_trans.wrong_prefix;
  false_data  =_trans.false_data;
  false_data  =_trans.false_data;
  false_data_en =_trans.false_data_en;
  false_data_en =_trans.false_data_en;
  _id           =_trans._id;
  _id           =_trans._id;
endfunction:do_copy
endfunction:do_copy
 No newline at end of file
 No newline at end of file
 
 
 
 
 
function bit uart_transaction::do_compare(uvm_object rhs,
 
                                          uvm_comparer comparer);
 
   uart_transaction t;
 
   do_compare=super.do_compare(rhs,comparer);
 
   $cast(t,rhs);
 
   do_compare &= comparer.compare_field_ ("_mode",_mode,rhs._mode);
 
   do_compare &= comparer.compare_field_ ("_spacetype1",_spacetype1, rhs._spacetype1);
 
   do_compare &= comparer.compare_field_ ("_spacetype2",_spacetype2, rhs._spacetype2);
 
   do_compare &= comparer.compare_field_ ("space_wrong1",space_wrong1, rhs.space_wrong1);
 
   do_compare &= comparer.compare_field_ ("space_wrong2",space_wrong2, rhs.space_wrong2);
 
   do_compare &= comparer.compare_field_ ("_data",_data, rhs._data);
 
   do_compare &= comparer.compare_field_ ("length_data",length_data, rhs.length_data);
 
   do_compare &= comparer.compare_field_ ("_eoltype",_eoltype, rhs._eoltype);
 
   do_compare &= comparer.compare_field_ ("eol_wrong",eol_wrong, rhs.eol_wrong);
 
   do_compare &= comparer.compare_field_ ("address",address, rhs.address);
 
   do_compare &= comparer.compare_field_ ("_command",_command, rhs._command);
 
   do_compare &= comparer.compare_field_ ("_reqack",_reqack, rhs._reqack);
 
   do_compare &= comparer.compare_field_ ("_reqinc",_reqinc, rhs._reqinc);
 
   do_compare &= comparer.compare_field_ ("_chartype",_chartype, rhs._chartype);
 
   do_compare &= comparer.compare_field_ ("_arbit",_arbit, rhs._arbit);
 
   do_compare &= comparer.compare_field_ ("time_before",time_before, rhs.time_before);
 
   do_compare &= comparer.compare_field_ ("time_after",time_after, rhs.time_after);
 
   do_compare &= comparer.compare_field_ ("acknowledge",acknowledge, rhs.acknowledge);
 
   do_compare &= comparer.compare_field_ ("wrong_prefix",wrong_prefix, rhs.wrong_prefix);
 
   do_compare &= comparer.compare_field_ ("false_data",false_data, rhs.false_data);
 
   do_compare &= comparer.compare_field_ ("false_data_en",false_data_en, rhs.false_data_en);
 
   do_compare &= comparer.compare_field_ ("_id",_id, rhs._id);
 
endfunction // do_compare

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.