OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [run.do] - Diff between revs 3 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 6
Line 1... Line 1...
vlib work
vlib work
#vlog -novopt ../../../uvm-1.2/src/uvm.sv +incdir+../../../uvm-1.2/src/
#------------------------------
 
# BFMs Compiling
 
#------------------------------
vlog -novopt interfaces/uart_interface.sv +incdir+../
vlog -novopt interfaces/uart_interface.sv +incdir+../
vlog -novopt interfaces/rf_interface.sv +incdir+../
vlog -novopt interfaces/rf_interface.sv +incdir+../
vlog -novopt interfaces/uart_arbiter.sv +incdir+../
vlog -novopt interfaces/uart_arbiter.sv +incdir+../
#vlog -novopt agent/agent_pkg.sv +incdir+agent/
#-----------------------------
 
# Agent Compiling
 
#------------------------------
#vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+../../../uvm-1.2/src/
 
 
 
vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+agent/monitor +incdir+agent/coverage
vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver  +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+agent/monitor +incdir+agent/coverage
 
#-----------------------------
 
# Environment & Scoreboard Compiling
 
#------------------------------
vlog -novopt env/env_pkg.sv +incdir+env +incdir+analysis
vlog -novopt env/env_pkg.sv +incdir+env +incdir+analysis
#vlog -novopt env/env_pkg.sv +incdir+env +incdir+../../../uvm-1.2/src/
#-----------------------------
 
# UART TEST Compiling
 
#------------------------------
vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+./ +incdir+../
vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+./ +incdir+../
#vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+../../../uvm-1.2/src/ +incdir+./
#-----------------------------
 
# UART DUT Compiling
 
#------------------------------
 
 
vlog ../rtl/uart_tx.v +incdir+../rtl
vlog ../rtl/uart_tx.v +incdir+../rtl
vlog ../rtl/uart_rx.v +incdir+../rtl
vlog ../rtl/uart_rx.v +incdir+../rtl
vlog ../rtl/baud_gen.v +incdir+../rtl
vlog ../rtl/baud_gen.v +incdir+../rtl
vlog ../rtl/uart_top.v +incdir+../rtl
vlog ../rtl/uart_top.v +incdir+../rtl
vlog ../rtl/uart_parser.v +incdir+../rtl
vlog ../rtl/uart_parser.v +incdir+../rtl
vlog ../rtl/uart2bus_top.v +incdir+../rtl
vlog ../rtl/uart2bus_top.v +incdir+../rtl
 
#-----------------------------
 
# UART Top Testbench Compiling
 
#------------------------------
vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+./ +incdir+../rtl
vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+./ +incdir+../rtl
#vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+../../../uvm-1.2/src/
#-----------------------------
 
# UART Top Testbench Simulation
 
#------------------------------
vsim -novopt +coverage uart_top_tb
vsim -novopt +coverage uart_top_tb
#vsim -novopt uart_top_tb +UVM_TIMEOUT=50,'NO'
 
#vsim -novopt uart_top_tb +uvm_set_severity=uart_scoreboard,uart_s,UVM_LOW,UVM_LOW
 
view wave
 
 
 
add wave  \
 
sim:/uart_top_tb/uart_inf/ser_in \
 
sim:/uart_top_tb/uart_inf/ser_out \
 
sim:/uart_top_tb/uart_inf/clock \
 
sim:/uart_top_tb/uart_inf/start_trans \
 
sim:/uart_top_tb/rf_inf/int_address \
 
sim:/uart_top_tb/rf_inf/int_wr_data \
 
sim:/uart_top_tb/rf_inf/int_write \
 
sim:/uart_top_tb/rf_inf/int_rd_data \
 
sim:/uart_top_tb/rf_inf/int_read \
 
sim:/uart_top_tb/rf_inf/int_gnt \
 
sim:/uart_top_tb/rf_inf/int_req \
 
sim:/uart_top_tb/dut/int_gnt \
 
sim:/uart_top_tb/dut/int_req \
 
sim:/uart_top_tb/dut/ser_in \
 
sim:/uart_top_tb/dut/ser_out \
 
sim:/uart_top_tb/dut/reset \
 
sim:/uart_top_tb/dut/clock
 
run -all
run -all
 
 
 
 
 
 
 
 
 
 
 
 
 
 
#vsim i2c_top +UVM_CONFIG_DB_TRACE
 
#run -all
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.