OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [test/] [uart_test.svh] - Diff between revs 3 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 8
Line 77... Line 77...
 
 
    uvm_config_db#(uart_config)::set(this,"*","UART_CONFIGURATION",_config);
    uvm_config_db#(uart_config)::set(this,"*","UART_CONFIGURATION",_config);
 
 
    printer = new();
    printer = new();
    printer.knobs.depth = 3;
    printer.knobs.depth = 3;
 
    env_configuration();
  endfunction:build_phase
  endfunction:build_phase
 
 
  function void connect_phase (uvm_phase phase);
  function void connect_phase (uvm_phase phase);
    super.connect_phase(phase);
    super.connect_phase(phase);
  endfunction:connect_phase
  endfunction:connect_phase
 
 
  function void end_of_elaboration_phase (uvm_phase phase);
  function void env_configuration ();
    super.end_of_elaboration_phase(phase);
 
    _config._edge         = pos_edge;
    _config._edge         = pos_edge;
    _config._start        = lsb;
    _config._start        = lsb;
    _config._datamode     = ascii;
    _config._datamode     = ascii;
    _config.num_stop_bits = 1;
    _config.num_stop_bits = 1;
    _config.num_of_bits   = 8;
    _config.num_of_bits   = 8;
    _config._paritymode   = parity_off;
    _config._paritymode   = parity_off;
    _config.response_time = 8680;
    _config.response_time = 8680;
    _config.use_false_data= no;
    _config.use_false_data= no;
  endfunction:end_of_elaboration_phase
  endfunction:env_configuration
 
 
  task run_phase (uvm_phase phase);
  task run_phase (uvm_phase phase);
    phase.phase_done.set_drain_time(this,5000);
    phase.phase_done.set_drain_time(this,5000);
  endtask:run_phase
  endtask:run_phase
endclass:uart_base_test
endclass:uart_base_test

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.