OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_wishbone_slave.vhd] - Diff between revs 20 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 21
Line 23... Line 23...
         DAT_O0 : OUT  std_logic_vector(31 downto 0);
         DAT_O0 : OUT  std_logic_vector(31 downto 0);
         WE_I : IN  std_logic;
         WE_I : IN  std_logic;
         STB_I : IN  std_logic;
         STB_I : IN  std_logic;
         ACK_O : OUT  std_logic;
         ACK_O : OUT  std_logic;
         serial_in : IN  std_logic;
         serial_in : IN  std_logic;
 
                        data_Avaible : out std_logic;                                                                                   -- Indicate that the receiver module got something
         serial_out : OUT  std_logic
         serial_out : OUT  std_logic
        );
        );
    END COMPONENT;
    END COMPONENT;
 
 
 
 
Line 41... Line 42...
 
 
        --Outputs
        --Outputs
   signal DAT_O0 : std_logic_vector(31 downto 0);
   signal DAT_O0 : std_logic_vector(31 downto 0);
   signal ACK_O : std_logic;
   signal ACK_O : std_logic;
   signal serial_out : std_logic;
   signal serial_out : std_logic;
 
        signal data_Avaible : std_logic;
 
 
   -- Clock period definitions (1.8432MHz)
   -- Clock period definitions (1.8432MHz)
   constant CLK_I_period : time := 20 ns; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
   constant CLK_I_period : time := 20 ns; -- 0.543us (1.8432Mhz) 2ns (50Mhz)
 
 
BEGIN
BEGIN
Line 58... Line 60...
          DAT_O0 => DAT_O0,
          DAT_O0 => DAT_O0,
          WE_I => WE_I,
          WE_I => WE_I,
          STB_I => STB_I,
          STB_I => STB_I,
          ACK_O => ACK_O,
          ACK_O => ACK_O,
          serial_in => serial_in,
          serial_in => serial_in,
 
                         data_Avaible => data_Avaible,
          serial_out => serial_out
          serial_out => serial_out
        );
        );
 
 
   -- Clock process definitions
   -- Clock process definitions
   CLK_I_process :process
   CLK_I_process :process

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.