OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [testUart_wishbone_slave.vhd] - Diff between revs 23 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 24
Line 142... Line 142...
 
 
                -- Stop bit here
                -- Stop bit here
                serial_in <= '1';
                serial_in <= '1';
                wait for CLK_I_period*5000;
                wait for CLK_I_period*5000;
 
 
 
                -- Check content by reading the register (Should be 0x55)
 
                ADR_I0 <= "11";
 
                WE_I <= '0';
 
                STB_I <= '1';
 
                wait until ACK_O = '1';
 
                STB_I <= '0';
 
                ADR_I0 <= (others => 'U');
 
                wait for CLK_I_period*5000;
 
 
 
                -- Ask to send some data...(0x55)
 
                ADR_I0 <= "10";
 
                WE_I <= '1';
 
                STB_I <= '1';
 
                DAT_I0 <= x"00000055";
 
                wait until ACK_O = '1';
 
                WE_I <= '0';
 
                STB_I <= '0';
 
                ADR_I0 <= (others => 'U');
 
                wait for CLK_I_period*5000;
 
 
 
 
 
 
      -- Stop Simulation
      -- Stop Simulation
                assert false report "NONE. End of simulation." severity failure;
                assert false report "NONE. End of simulation." severity failure;
   end process;
   end process;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.