OpenCores
URL https://opencores.org/ocsvn/udp_ip_stack/udp_ip_stack/trunk

Subversion Repositories udp_ip_stack

[/] [udp_ip_stack/] [trunk/] [rtl/] [vhdl/] [UDP_Complete_nomac.vhd] - Diff between revs 4 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 8
Line 25... Line 25...
use work.axi.all;
use work.axi.all;
use work.ipv4_types.all;
use work.ipv4_types.all;
use work.arp_types.all;
use work.arp_types.all;
 
 
entity UDP_Complete_nomac is
entity UDP_Complete_nomac is
 
         generic (
 
                        CLOCK_FREQ                      : integer := 125000000;                                                 -- freq of data_in_clk -- needed to timout cntr
 
                        ARP_TIMEOUT                     : integer := 60                                                                 -- ARP response timeout (s)
 
                        );
    Port (
    Port (
                        -- UDP TX signals
                        -- UDP TX signals
                        udp_tx_start                    : in std_logic;                                                 -- indicates req to tx UDP
                        udp_tx_start                    : in std_logic;                                                 -- indicates req to tx UDP
                        udp_txi                                 : in udp_tx_type;                                                       -- UDP tx cxns
                        udp_txi                                 : in udp_tx_type;                                                       -- UDP tx cxns
                        udp_tx_result                   : out std_logic_vector (1 downto 0);-- tx status (changes during transmission)
                        udp_tx_result                   : out std_logic_vector (1 downto 0);-- tx status (changes during transmission)
Line 42... Line 46...
                        rx_clk                                  : in  STD_LOGIC;
                        rx_clk                                  : in  STD_LOGIC;
                        tx_clk                                  : in  STD_LOGIC;
                        tx_clk                                  : in  STD_LOGIC;
                        reset                                   : in  STD_LOGIC;
                        reset                                   : in  STD_LOGIC;
                        our_ip_address          : in STD_LOGIC_VECTOR (31 downto 0);
                        our_ip_address          : in STD_LOGIC_VECTOR (31 downto 0);
                        our_mac_address                 : in std_logic_vector (47 downto 0);
                        our_mac_address                 : in std_logic_vector (47 downto 0);
 
                        control                                 : in udp_control_type;
                        -- status signals
                        -- status signals
                        arp_pkt_count                   : out STD_LOGIC_VECTOR(7 downto 0);                      -- count of arp pkts received
                        arp_pkt_count                   : out STD_LOGIC_VECTOR(7 downto 0);                      -- count of arp pkts received
                        ip_pkt_count                    : out STD_LOGIC_VECTOR(7 downto 0);                      -- number of IP pkts received for us
                        ip_pkt_count                    : out STD_LOGIC_VECTOR(7 downto 0);                      -- number of IP pkts received for us
                        -- MAC Transmitter
                        -- MAC Transmitter
                        mac_tx_tdata         : out  std_logic_vector(7 downto 0);        -- data byte to tx
                        mac_tx_tdata         : out  std_logic_vector(7 downto 0);        -- data byte to tx
Line 107... Line 112...
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
  -- Component Declaration for the IP layer
  -- Component Declaration for the IP layer
  ------------------------------------------------------------------------------
  ------------------------------------------------------------------------------
 
 
component IP_complete_nomac
component IP_complete_nomac
 
         generic (
 
                        CLOCK_FREQ                      : integer := 125000000;                                                 -- freq of data_in_clk -- needed to timout cntr
 
                        ARP_TIMEOUT                     : integer := 60                                                                 -- ARP response timeout (s)
 
                        );
    Port (
    Port (
                        -- IP Layer signals
                        -- IP Layer signals
                        ip_tx_start                             : in std_logic;
                        ip_tx_start                             : in std_logic;
                        ip_tx                                           : in ipv4_tx_type;                                                              -- IP tx cxns
                        ip_tx                                           : in ipv4_tx_type;                                                              -- IP tx cxns
                        ip_tx_result                    : out std_logic_vector (1 downto 0);             -- tx status (changes during transmission)
                        ip_tx_result                    : out std_logic_vector (1 downto 0);             -- tx status (changes during transmission)
Line 121... Line 130...
                        rx_clk                                  : in  STD_LOGIC;
                        rx_clk                                  : in  STD_LOGIC;
                        tx_clk                                  : in  STD_LOGIC;
                        tx_clk                                  : in  STD_LOGIC;
                        reset                                   : in  STD_LOGIC;
                        reset                                   : in  STD_LOGIC;
                        our_ip_address          : in STD_LOGIC_VECTOR (31 downto 0);
                        our_ip_address          : in STD_LOGIC_VECTOR (31 downto 0);
                        our_mac_address                 : in std_logic_vector (47 downto 0);
                        our_mac_address                 : in std_logic_vector (47 downto 0);
 
                        control                                 : in ip_control_type;
                        -- status signals
                        -- status signals
                        arp_pkt_count                   : out STD_LOGIC_VECTOR(7 downto 0);                      -- count of arp pkts received
                        arp_pkt_count                   : out STD_LOGIC_VECTOR(7 downto 0);                      -- count of arp pkts received
                        ip_pkt_count                    : out STD_LOGIC_VECTOR(7 downto 0);                      -- number of IP pkts received for us
                        ip_pkt_count                    : out STD_LOGIC_VECTOR(7 downto 0);                      -- number of IP pkts received for us
                        -- MAC Transmitter
                        -- MAC Transmitter
                        mac_tx_tdata         : out  std_logic_vector(7 downto 0);        -- data byte to tx
                        mac_tx_tdata         : out  std_logic_vector(7 downto 0);        -- data byte to tx
Line 155... Line 165...
 
 
        -- output followers
        -- output followers
        ip_rx_hdr <= ip_rx_int.hdr;
        ip_rx_hdr <= ip_rx_int.hdr;
 
 
        -- Instantiate the UDP TX block
        -- Instantiate the UDP TX block
   udp_tx_block: UDP_TX PORT MAP (
   udp_tx_block: UDP_TX
 
                        PORT MAP (
                                -- UDP Layer signals
                                -- UDP Layer signals
                                udp_tx_start                    => udp_tx_start,
                                udp_tx_start                    => udp_tx_start,
                                udp_txi                                         => udp_txi,
                                udp_txi                                         => udp_txi,
                                udp_tx_result                   => udp_tx_result,
                                udp_tx_result                   => udp_tx_result,
                                udp_tx_data_out_ready=> udp_tx_data_out_ready,
                                udp_tx_data_out_ready=> udp_tx_data_out_ready,
Line 187... Line 198...
        );
        );
 
 
   ------------------------------------------------------------------------------
   ------------------------------------------------------------------------------
   -- Instantiate the IP layer
   -- Instantiate the IP layer
   ------------------------------------------------------------------------------
   ------------------------------------------------------------------------------
    IP_block : IP_complete_nomac PORT MAP
    IP_block : IP_complete_nomac
                (
                generic map (
 
                         CLOCK_FREQ                     => CLOCK_FREQ,
 
                         ARP_TIMEOUT            => ARP_TIMEOUT
 
                         )
 
                PORT MAP (
                                -- IP interface
                                -- IP interface
                                ip_tx_start                     => ip_tx_start_int,
                                ip_tx_start                     => ip_tx_start_int,
                                ip_tx                                   => ip_tx_int,
                                ip_tx                                   => ip_tx_int,
                                ip_tx_result                    => ip_tx_result_int,
                                ip_tx_result                    => ip_tx_result_int,
                                ip_tx_data_out_ready    => ip_tx_data_out_ready_int,
                                ip_tx_data_out_ready    => ip_tx_data_out_ready_int,
Line 202... Line 217...
                                rx_clk                                  => rx_clk,
                                rx_clk                                  => rx_clk,
                                tx_clk                                  => tx_clk,
                                tx_clk                                  => tx_clk,
                                reset                                   => reset,
                                reset                                   => reset,
                                our_ip_address          => our_ip_address,
                                our_ip_address          => our_ip_address,
                                our_mac_address                 => our_mac_address,
                                our_mac_address                 => our_mac_address,
 
                                control                                 => control.ip_controls,
                                -- status signals
                                -- status signals
                                arp_pkt_count                   => arp_pkt_count,
                                arp_pkt_count                   => arp_pkt_count,
                                ip_pkt_count                    => ip_pkt_count,
                                ip_pkt_count                    => ip_pkt_count,
                                -- MAC Transmitter
                                -- MAC Transmitter
                                mac_tx_tdata                    => mac_tx_tdata,
                                mac_tx_tdata                    => mac_tx_tdata,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.