OpenCores
URL https://opencores.org/ocsvn/udp_ip_stack/udp_ip_stack/trunk

Subversion Repositories udp_ip_stack

[/] [udp_ip_stack/] [trunk/] [rtl/] [vhdl/] [arp_types.vhd] - Diff between revs 2 and 8

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 8
--
--
--      Package File Template
--      Package File Template
--
--
--      Purpose: This package defines supplemental types, subtypes, 
--      Purpose: This package defines supplemental types, subtypes, 
--               constants, and functions 
--               constants, and functions 
--
--
--   To use any of the example code shown below, uncomment the lines and modify as necessary
--   To use any of the example code shown below, uncomment the lines and modify as necessary
--
--
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
 
 
package arp_types is
package arp_types is
 
 
        type arp_req_req_type is
        type arp_req_req_type is
                record
                record
                                lookup_req      : std_logic;                                                                    -- set high when wanting mac adr for the requested IP
                                lookup_req      : std_logic;                                                                    -- set high when wanting mac adr for the requested IP
                                ip                              : std_logic_vector (31 downto 0);
                                ip                              : std_logic_vector (31 downto 0);
                end record;
                end record;
 
 
        type arp_req_rslt_type is
        type arp_req_rslt_type is
                record
                record
                                got_mac         : std_logic;                                                                    -- indicates that we got the mac
                                got_mac         : std_logic;                                                                    -- indicates that we got the mac
                                mac                     : std_logic_vector (47 downto 0);
                                mac                     : std_logic_vector (47 downto 0);
                                got_err         : std_logic;                                                                    -- indicates that we got an error (prob a timeout)
                                got_err         : std_logic;                                                                    -- indicates that we got an error (prob a timeout)
                end record;
                end record;
 
 
 
        type arp_control_type is
 
                record
 
                                clear_cache     : std_logic;
 
                end record;
 
 
end arp_types;
end arp_types;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.