OpenCores
URL https://opencores.org/ocsvn/udp_ip_stack/udp_ip_stack/trunk

Subversion Repositories udp_ip_stack

[/] [udp_ip_stack/] [trunk/] [rtl/] [vhdl/] [ipv4_types.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 7... Line 7...
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
use work.axi.all;
use work.axi.all;
 
 
package ipv4_types is
package ipv4_types is
 
 
 
        constant IP_BC_ADDR             : std_logic_vector (31 downto 0) := x"ffffffff";
 
        constant MAC_BC_ADDR            : std_logic_vector (47 downto 0) := x"ffffffffffff";
 
 
        --------------
        --------------
        -- IPv4 TX --
        -- IPv4 TX --
        --------------
        --------------
 
 
        -- coding for result in tx
        -- coding for result in tx
Line 46... Line 49...
                protocol                                : std_logic_vector (7 downto 0);
                protocol                                : std_logic_vector (7 downto 0);
                data_length                     : STD_LOGIC_VECTOR (15 downto 0);        -- user data size, bytes
                data_length                     : STD_LOGIC_VECTOR (15 downto 0);        -- user data size, bytes
                src_ip_addr             : STD_LOGIC_VECTOR (31 downto 0);
                src_ip_addr             : STD_LOGIC_VECTOR (31 downto 0);
                num_frame_errors        : std_logic_vector (7 downto 0);
                num_frame_errors        : std_logic_vector (7 downto 0);
                last_error_code : std_logic_vector (3 downto 0);         -- see RX_EC_xxx constants
                last_error_code : std_logic_vector (3 downto 0);         -- see RX_EC_xxx constants
 
                is_broadcast            : std_logic;                                                            -- set if the msg received is a broadcast
        end record;
        end record;
 
 
        type ipv4_rx_type is record
        type ipv4_rx_type is record
                hdr                             : ipv4_rx_header_type;                                          -- header received
                hdr                             : ipv4_rx_header_type;                                          -- header received
                data                            : axi_in_type;                                                                  -- rx axi bus
                data                            : axi_in_type;                                                                  -- rx axi bus

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.