OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_dc_dw.v] - Diff between revs 18 and 26

Show entire file | Details | Blame | View Log

Rev 18 Rev 26
Line 1... Line 1...
 
// true dual port RAM, sync
 
 
 
`ifdef ACTEL
 
        `define SYN /*synthesis syn_ramstyle = "no_rw_check"*/
 
`endif
module vfifo_dual_port_ram_dc_dw
module vfifo_dual_port_ram_dc_dw
  (
  (
   d_a,
   d_a,
   q_a,
   q_a,
   adr_a,
   adr_a,
Line 21... Line 26...
   input [(DATA_WIDTH-1):0]       d_b;
   input [(DATA_WIDTH-1):0]       d_b;
   output reg [(DATA_WIDTH-1):0] q_a;
   output reg [(DATA_WIDTH-1):0] q_a;
   input                         we_b;
   input                         we_b;
   input                         clk_a, clk_b;
   input                         clk_a, clk_b;
   reg [(DATA_WIDTH-1):0]         q_b;
   reg [(DATA_WIDTH-1):0]         q_b;
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] ;
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] `SYN;
   always @ (posedge clk_a)
   always @ (posedge clk_a)
     begin
     begin
        q_a <= ram[adr_a];
        q_a <= ram[adr_a];
        if (we_a)
        if (we_a)
             ram[adr_a] <= d_a;
             ram[adr_a] <= d_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.