OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_sc_dw.v] - Diff between revs 26 and 32

Show entire file | Details | Blame | View Log

Rev 26 Rev 32
Line 25... Line 25...
   input [(DATA_WIDTH-1):0]       d_b;
   input [(DATA_WIDTH-1):0]       d_b;
   output reg [(DATA_WIDTH-1):0] q_a;
   output reg [(DATA_WIDTH-1):0] q_a;
   input                         we_b;
   input                         we_b;
   input                         clk;
   input                         clk;
   reg [(DATA_WIDTH-1):0]         q_b;
   reg [(DATA_WIDTH-1):0]         q_b;
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] ;
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] `SYN;
   always @ (posedge clk)
   always @ (posedge clk)
     begin
     begin
        q_a <= ram[adr_a];
        q_a <= ram[adr_a];
        if (we_a)
        if (we_a)
             ram[adr_a] <= d_a;
             ram[adr_a] <= d_a;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.