OpenCores
URL https://opencores.org/ocsvn/versatile_library/versatile_library/trunk

Subversion Repositories versatile_library

[/] [versatile_library/] [trunk/] [rtl/] [verilog/] [wb.v] - Diff between revs 124 and 126

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 124 Rev 126
Line 1235... Line 1235...
parameter dw_s = 32;
parameter dw_s = 32;
parameter aw_s = 24;
parameter aw_s = 24;
parameter dw_m = dw_s;
parameter dw_m = dw_s;
//localparam aw_m = dw_s * aw_s / dw_m;
//localparam aw_m = dw_s * aw_s / dw_m;
localparam aw_m =
localparam aw_m =
        (dw_s==dw_m) ? aw_m :
        (dw_s==dw_m) ? aw_s :
        (dw_s==dw_m*2) ? aw_m+1 :
        (dw_s==dw_m*2) ? aw_s+1 :
        (dw_s==dw_m*4) ? aw_m+2 :
        (dw_s==dw_m*4) ? aw_s+2 :
        (dw_s==dw_m*8) ? aw_m+3 :
        (dw_s==dw_m*8) ? aw_s+3 :
        (dw_s==dw_m*16) ? aw_m+4 :
        (dw_s==dw_m*16) ? aw_s+4 :
        (dw_s==dw_m*32) ? aw_m+5 :
        (dw_s==dw_m*32) ? aw_s+5 :
        (dw_s==dw_m/2) ? aw_m-1 :
        (dw_s==dw_m/2) ? aw_s-1 :
        (dw_s==adw_m/4) ? aw_m-2 :
        (dw_s==adw_m/4) ? aw_s-2 :
        (dw_s==dw_m/8) ? aw_m-3 :
        (dw_s==dw_m/8) ? aw_s-3 :
        (dw_s==dw_m/16) ? aw_m-4 :
        (dw_s==dw_m/16) ? aw_s-4 :
        (dw_s==dw_m/32) ? aw_m-5 : 0;
        (dw_s==dw_m/32) ? aw_s-5 : 0;
 
 
parameter wbs_max_burst_width = 4;
parameter wbs_max_burst_width = 4;
parameter wbs_mode = "B3";
parameter wbs_mode = "B3";
 
 
parameter async = 1; // wbs_clk != wbm_clk
parameter async = 1; // wbs_clk != wbm_clk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.