OpenCores
URL https://opencores.org/ocsvn/vhdl_wb_tb/vhdl_wb_tb/trunk

Subversion Repositories vhdl_wb_tb

[/] [vhdl_wb_tb/] [trunk/] [rtl/] [vhdl/] [packages/] [convert_pkg.vhd] - Diff between revs 21 and 26

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 21 Rev 26
Line 15... Line 15...
----                                                              ----
----                                                              ----
----------------------------------------------------------------------
----------------------------------------------------------------------
----    SVN information
----    SVN information
----
----
----      $URL: file:///svn/vhdl_wb_tb/vhdl_wb_tb/trunk/rtl/vhdl/packages/convert_pkg.vhd $
----      $URL: file:///svn/vhdl_wb_tb/vhdl_wb_tb/trunk/rtl/vhdl/packages/convert_pkg.vhd $
---- $Revision: 21 $
---- $Revision: 26 $
----     $Date: 2018-08-01 12:05:41 +0200 (Wed, 01 Aug 2018) $
----     $Date: 2018-08-03 13:07:46 +0200 (Fri, 03 Aug 2018) $
----   $Author: sinx $
----   $Author: sinx $
----       $Id: convert_pkg.vhd 21 2018-08-01 10:05:41Z sinx $
----       $Id: convert_pkg.vhd 26 2018-08-03 11:07:46Z sinx $
----------------------------------------------------------------------
----------------------------------------------------------------------
----                                                              ----
----                                                              ----
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ----
---- Copyright (C) 2018 Authors and OPENCORES.ORG                 ----
----                                                              ----
----                                                              ----
---- This source file may be used and distributed without         ----
---- This source file may be used and distributed without         ----
Line 56... Line 56...
PACKAGE convert_pkg IS
PACKAGE convert_pkg IS
 
 
  FUNCTION to_std_logic_vector(input : integer; length : integer) RETURN std_logic_vector;
  FUNCTION to_std_logic_vector(input : integer; length : integer) RETURN std_logic_vector;
 
 
  FUNCTION to_integer(input : std_logic_vector) RETURN integer;
  FUNCTION to_integer(input : std_logic_vector) RETURN integer;
  FUNCTION to_string(int : integer; base : integer range 1 to 16 := 16; length : integer range 1 to 8:= 8) RETURN string;
  FUNCTION to_string( int : integer;
  FUNCTION to_string(slv : std_logic_vector; base : integer range 1 to 16 := 16; length : integer range 1 to 8 := 8) RETURN string;
                      base : integer range 1 to 16 := 16; -- 2: binary, 8: octal, 10: decimal, 16:hex
 
                      length : integer range 0 to 32:= 0 -- 0: automatic length detection
 
                      ) RETURN string;
 
  FUNCTION to_string( slv : std_logic_vector;
 
                      base : integer range 1 to 16 := 16; -- 2: binary, 8: octal, 10: decimal, 16:hex
 
                      length : integer range 1 to 8 := 8 -- 0: automatic length detection
 
                      ) RETURN string;
 
 
END convert_pkg;
END convert_pkg;
 
 
-- package body ------------------------------------------------------
-- package body ------------------------------------------------------
PACKAGE BODY convert_pkg IS
PACKAGE BODY convert_pkg IS
Line 121... Line 127...
    RETURN c;
    RETURN c;
  END to_char;
  END to_char;
  ----------------------------------------------------------------------
  ----------------------------------------------------------------------
  FUNCTION to_string(int : integer;
  FUNCTION to_string(int : integer;
                     base : integer range 1 to 16 := 16;
                     base : integer range 1 to 16 := 16;
                     length : integer range 1 to 8 := 8
                     length : integer range 1 to 32 := 0
                     ) RETURN string IS
                     ) RETURN string IS
 
 
    VARIABLE temp    : string(1 TO 1000);
    VARIABLE temp    : string(1 TO 1000);
    VARIABLE num     : integer;
    VARIABLE num     : integer;
    VARIABLE abs_int : integer;
    VARIABLE abs_int : integer;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.