OpenCores
URL https://opencores.org/ocsvn/vhld_tb/vhld_tb/trunk

Subversion Repositories vhld_tb

[/] [vhld_tb/] [trunk/] [source/] [tb_pkg_header.vhd] - Diff between revs 14 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 19
Line 1... Line 1...
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--             Copyright 2007  Ken Campbell
--             Copyright 2011  Ken Campbell
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- $Author: sckoarn $
-- $Author: sckoarn $
--
--
-- $Date: 2008-02-24 01:34:11 $
-- $Date:  $
--
--
-- $Name: not supported by cvs2svn $
-- $Id:  $
--
--
-- $Id: tb_pkg_header.vhd,v 1.4 2008-02-24 01:34:11 sckoarn Exp $
-- $Source: $
--
 
-- $Source: /home/marcus/revision_ctrl_test/oc_cvs/cvs/vhld_tb/source/tb_pkg_header.vhd,v $
 
--
--
-- Description :  The the testbench package header file.
-- Description :  The the testbench package header file.
--                Initial GNU release.
--                GNU release 2 Beta.
--
--
------------------------------------------------------------------------------
------------------------------------------------------------------------------
--This file is part of The VHDL Test Bench.
--This file is part of The VHDL Test Bench.
--
--
--    The VHDL Test Bench is free software; you can redistribute it and/or modify
--    The VHDL Test Bench is free software; you can redistribute it and/or modify
Line 29... Line 27...
--
--
--    You should have received a copy of the GNU General Public License
--    You should have received a copy of the GNU General Public License
--    along with The VHDL Test Bench; if not, write to the Free Software
--    along with The VHDL Test Bench; if not, write to the Free Software
--    Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301  USA
--    Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301  USA
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Revision History:
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2007/09/02 04:04:04  sckoarn
 
-- Update of version 1.2 tb_pkg
 
-- See documentation for details
 
--
 
-- Revision 1.2  2007/08/21 02:43:14  sckoarn
 
-- Fix package definition to match with body
 
--
 
-- Revision 1.1.1.1  2007/04/06 04:06:48  sckoarn
 
-- Import of the vhld_tb
 
--
 
--
 
-------------------------------------------------------------------------------
 
library IEEE;
library IEEE;
 
 
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_ARITH.all;
use std.textio.all;
use std.textio.all;
library ieee_proposed;
--library ieee_proposed;
use ieee_proposed.STD_LOGIC_1164_additions.all;
--use ieee_proposed.STD_LOGIC_1164_additions.all;
 
 
package tb_pkg is
package tb_pkg is
 
 
  -- Constants
  -- Constants
  constant max_str_len   : integer := 256;
  constant max_str_len   : integer := 256;
Line 117... Line 101...
    rec_idx:         integer;
    rec_idx:         integer;
    file_name:       text_line;
    file_name:       text_line;
    next_rec:        file_def_ptr;
    next_rec:        file_def_ptr;
  end record;
  end record;
 
 
 
  -- define the stimulus slave control record types
 
  type stm_sctl is record
 
    rst_n       : std_logic;
 
    addr        : std_logic_vector(31 downto 0);
 
    wdat        : std_logic_vector(31 downto 0);
 
    rwn         : std_logic;
 
    req_n       : std_logic;
 
  end record;
 
  type stm_sack is record
 
    rdat        : std_logic_vector(31 downto 0);
 
    ack_n       : std_logic;
 
    rdy_n       : std_logic;
 
    irq_n       : std_logic;
 
  end record;
 
  -- define the stimulus master control record types
 
  type stm_mctl is record
 
    addr        : std_logic_vector(31 downto 0);
 
    wdat        : std_logic_vector(31 downto 0);
 
    rwn         : std_logic;
 
    req_n       : std_logic;
 
    breq        : std_logic;
 
  end record;
 
  type stm_mack is record
 
    rdat        : std_logic_vector(31 downto 0);
 
    slv_rdy     : std_logic_vector(15 downto 0);
 
    slv_irq     : std_logic_vector(15 downto 0);
 
    ack_n       : std_logic;
 
    bgrant      : std_logic;
 
  end record;
 
 
 
-----
 
--  stm interface neutral functions
 
  function stm_neut return stm_sctl;
 
  function stm_neut return stm_sack;
 
  --function stm_neut() return stm_mctl;
 
  --function stm_neut() return stm_mack;
 
 
---*****************************************************************************
---*****************************************************************************
  -- Function Declaration
  -- Function Declaration
--  function str_len(variable line: text_line) return text_field;
--  function str_len(variable line: text_line) return text_field;
--  function fld_len(s : in text_field) integer;
--  function fld_len(s : in text_field) integer;
 
 
Line 227... Line 248...
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--  Procedure print stim txt sub variables found
--  Procedure print stim txt sub variables found
  procedure txt_print_wvar(variable var_list   :  in  var_field_ptr;
  procedure txt_print_wvar(variable var_list   :  in  var_field_ptr;
                           variable ptr        :  in  stm_text_ptr;
                           variable ptr        :  in  stm_text_ptr;
                           constant b          :  in  base);
                           constant b          :  in  base);
 
 
-------------------------------------------------------------------------
-------------------------------------------------------------------------
-- dump inst_sequ
--  convert a std_logic_vector to an unsigned integer
--  This procedure dumps to the simulation window the current instruction
    function to_uninteger  ( constant vect     : in std_logic_vector
--  sequence.  The whole thing will be dumped, which could be big.
                         ) return integer;
--   ** intended for testbench development debug**
 
--  procedure dump_inst_sequ(variable inst_sequ  :  in  stim_line_ptr);
 
 
 
end tb_pkg;
end tb_pkg;
 
-------------------------------------------------------------------------------
 
--  new version 1.4
 
-- Revision History:
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.3  2007/09/02 04:04:04  sckoarn
 
-- Update of version 1.2 tb_pkg
 
-- See documentation for details
 
--
 
-- Revision 1.2  2007/08/21 02:43:14  sckoarn
 
-- Fix package definition to match with body
 
--
 
-- Revision 1.1.1.1  2007/04/06 04:06:48  sckoarn
 
-- Import of the vhld_tb
 
-------------------------------------------------------------------------------
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.